Você está na página 1de 17

FACULDADE ANHANGUERA

FACULDADE DE TECNOLOGIA ANCHIETA CURSO: ENGENHARIA ELETRNICA 9 AN DISCIPLINA: ARQUITETURA DE SISTEMAS DIGITAIS

Lucas Andrad %&'s(n R() r*( .ud' Na*u/'

RA !!!!!!"##$ RA!!!!!!+9,RA !!!!!!+0,9

ATPS ARQUITETURA DE SISTEMAS DIGITAIS

Professor: Eduard( R(c1a S2O 3ERNARDO DO CAMPO4 ## DE NO%EM3RO DE ,!#5

Enunciado U/a 6r nsa d )(rrac1a 6(ssu' ca6ac'dad 6ara 6r(c ssar *r7s /(&d s s'/u&*an a/ n* 4 c1a/ad(s d /(&d s A434C4 s nd( 8u cada /(&d 6(ssu' duas r s's*7nc'as d car*uc1( 6ara a8u c'/ n*(9 Cada 6r nsa 6(ssu' duas r s's*7nc'as9 Quand( u/a r s's*7nc'a 8u '/ar 6 rc )'d( 6 &( (6 rad(r4 s:( 6r(du<'d(s 6 =as c(/ d > '*(9 Para r s(&? r ( 6r()& /a4 ?(c7s d ? r:( 'ns*a&ar s ns(r s d c(rr n* 4 8u 'nd'ca @!A 6ara 8u '/ada @#A 6ara 8uand( s*'? r >unc'(nand( c(rr *a/ n* 9 Ta/);/ d ? r:( d s n?(&? r u/ s(>*Bar / %HDL 8u >a=a ( s Cu'n* : n:( ;

D Ind'8u ( nE/ r( da r s's*7nc'a 8u '/ada F#D-G / u/ d's6&aH d + s C/ n*(s9 D Quand( 1(u? r >a&1a4 u/ & d d ? rI ac nd r 'nd'cand( a( (6 rad(r 8u 6ar a 6r(du=:(9 D S /a's d u/a r s's*7nc'a 8u '/ar s'/u&*an a/ n* 4 d ? rI 'nd'car ( nE/ r( da 6r'/ 'ra9

Software

library

ieee;

use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity atps00 is port( r1,r2,r3,r4,r5,r6: in bit; a,b,c,d,e, ,g,h: out bit!; end atps00; architecture uncao o atps00 is

begin process (r1,r2,r3,r4,r5,r6! begin i (r1"#0#! then a$"#0#; b$"#1#; c$"#1#; d$"#0#; e$"#0#; $"#0#; g$"#0#;h$"#1#; else i (r2"#0#! then a$"#1#; b$"#1#; c$"#0#; d$"#1#; e$"#1#; $"#0#; g$"#1#;h$"#1#; else i (r3"#0#! then a$"#1#; b$"#1#; c$"#1#; d$"#1#; e$"#0#; $"#0#; g$"#1#;h$"#1#; else i (r4"#0#! then a$"#0#; b$"#1#; c$"#1#; d$"#0#; e$"#0#; $"#1#; g$"#1#;h$"#1#; else i (r5"#0#! then a$"#1#; b$"#0#; c$"#1#; d$"#1#; e$"#0#; $"#1#; g$"#1#;h$"#1#; else i (r6"#0#! then a$"#0#; b$"#0#; c$"#1#; d$"#1#; e$"#1#; $"#1#; g$"#1#;h$"#1#; else a$"#1#; b$"#1#; c$"#1#; d$"#1#; e$"#1#; $"#1#; g$"#0#;h$"#0#; end i ; end i ; end i ; end i ;

end i ; end i ; end process; end uncao;

Descrio do software

%e&os 6 entradas, 'ue s(o os sensores das resist)ncias nu&eradas de or&a crescentes :

r1*+ sensor de corrente da resist)ncia 1 r2*+ sensor de corrente da resist)ncia 2 r3*+ sensor de corrente da resist)ncia 3 r4*+ sensor de corrente da resist)ncia 4 r5*+ sensor de corrente da resist)ncia 5 r6*+ sensor de corrente da resist)ncia 6

,s sa-das s(o:

a*+ 'uando a sa-da or .1/ acender0 o seg&ento .a/ do display de 1 seg&entos. b*+ 'uando a sa-da or .1/ acender0 o seg&ento .b/ do display de 1 seg&entos. c*+ 'uando a sa-da or .1/ acender0 o seg&ento .c/ do display de 1 seg&entos. d*+ 'uando a sa-da or .1/ acender0 o seg&ento .d/ do display de 1 seg&entos.

e*+ 'uando a sa-da or .1/ acender0 o seg&ento .e/ do display de 1 seg&entos. *+ 'uando a sa-da or .1/ acender0 o seg&ento . / do display de 1 seg&entos. g*+ 'uando a sa-da or .1/ acender0 o seg&ento .g/ do display de 1 seg&entos. h*+ 'uando a sa-da or para .1/, a led acender0.

Display de 7 segmentos

LED SINALI AD!R

, l2gica b0sica utili3ada para a con ec4(o do so t5are oi encontrar u& co&ando co& leitura se'u)ncial e 'ue ela pare a 6arredura 'uando encontrar u&a condi4(o 60lida; por este &oti6o o co&ando escolhido oi o i then. 7ri&eiro pergunta se a resistencia 1 est0 boa, isto 8, 6eri ica se o sensor da resist)ncia 1 est0 co& o n-6el l2gico e& 1, caso este9a rui& (ni6el l2gico .0/!, ser(o ati6adas as saidas 'ue corresponde& ao n:&ero .1/ no display de 1 seg&entos ( b, c! e ta&b8& 6ai ati6ar a sa-da 'ue acende a led sinali3adora de alerta para o operador (sa-da h!, a3endo assi& sucessi6a&ente; portanto se &ais de u&a resist)ncia esti6er dani icada, ser0 &ostrada no display a resist)ncia de &enor n:&ero.

"ondi#es iniciais

Si$u%ando funciona$ento nor$a%

Si$u%ando fa%&a na resist'ncia (

Si$u%ando fa%&a na resist'ncia )

Si$u%ando fa%&a na resist'ncia *

Si$u%ando fa%&a na resist'ncia +

Si$u%ando fa%&a na resist'ncia ,

Si$u%ando fa%&a na resist'ncia -

Si$u%ando fa%&a nas resist'ncias ) e -

Si$u%ando fa%&a nas resist'ncias * e -

Si$u%ando fa%&a nas resist'ncias + e -

Si$u%ando fa%&a nas resist'ncias , e -

Si$u%ando fa%&a nas resist'ncias * e ,

Si$u%ando fa%&a e$ todas as resist'ncias

Pro.eto do &ardware descrito /e%o software

ATPS "!MPLEMENTAR SOFTJARE


library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity co&p is port( h,cl;,cl;1: in std_logic; dados: out std_logic; a,b,c,d:inout std_logic; sel1,sel0:in bit!; end co&p; architecture uncao o co&p is

signal sel: bit_6ector(1 do5nto 0!; begin process(h,cl;! 6ariable count:integer:"0; begin i h"#1# then i (cl;#e6ent and cl;"#1#! then count:"count<1; i count"1 then a$"#0#;b$"#0#;c$"#0#;d$"#1#; else i count"2 then a$"#0#; b$"#0#; c$"#1#; d$"#0#; else i count"3 then a$"#0#;b$"#0#;c$"#1#;d$"#1#; else i count"4 then a$"#0#; b$"#1#; c$"#0#; d$"#0#; else i count"5 then a$"#0#;b$"#1#;c$"#0#;d$"#1#; else i count"6 then a$"#0#; b$"#1#; c$"#1#; d$"#0#; else i count"1 then

a$"#0#;b$"#1#;c$"#1#;d$"#1#; else i count"= then a$"#1#; b$"#0#; c$"#0#; d$"#0#; else i count"> then a$"#1#; b$"#0#; c$"#0#; d$"#1#; end i ; end i ; end i ; end i ; end i ; end i ; end i ; end i ; end i ; end i ; else count:"0; end i ; end process; process(a,b,c,d,h,cl;1! begin sel$"sel1 ? sel0;

i (h"#0#! then i (cl;1#e6ent and cl;1"#1#! then

case sel is 5hen @00@"+ dados$"d; 5hen @01@"+ dados$"c; 5hen @10@"+ dados$"b; 5hen @11@"+ dados$"a; end case; end i ; end i ; end process; end uncao;

Descrio do software N s* 6r(Cra/a * /(s u/ c&(cKFc&KG 8u ; 1a)'&'*ad( 6 &a n*rada 14 8uand( ; 1a)'&'*ad( & c(n*a a*; 94 /a's 8u 9 ; c(ns'd rad( s'na& sa*urad(4 6(r*an*( >'cand( / 9Fn(? G4 * /(s u/ (u*r( c&(cK4 ( c&K# 8u ; ( c&(cK d s'ncr(n's/( da saLda s r'a&4 & s /6r >'ca & nd( ( c(n*ad(r4 / s/( 8uand( ( c(n*ad(r n:( ; 1a)'&'*ad(4 *rans/'*'nd( a u&*'/a 'n>(r/a=:(4 >(' u*'&'<ad( u/a ?ar'I? & c1a/ada ?ar'a)& 4 6('s ass'/ c(ns Cu'/(s a&* rar 'ns*an*an a/ n* 6r(c ss(9 s*a ?ar'I? &4 6ara a&* rar a ?ar'I? & s'Cna&4 n c ss'*a/(s nc rrar (

"onc%uso
Aeri ica&os 'ue o recurso de progra&ar e& ABCD 8 u&a &aneira &ais si&ples, r0pida e pr0tica de desen6ol6er u& hard5are, descre6e&os o 'ue dese9a&os de u& hard5are, isto 8, coloca&os as condi4Ees de entradas 6inculadas co& as condi4Ees de sa-das e o progra&a desen6ol6e u& hard5are co& as especi ica4Ees propostas. ,l8& disto, o te&po de resposta 8 &uito r0pido, por'ue o hard5are constru-do le6a apenas u& ciclo para ornecer a resposta dese9ada. Fsta 8 u&a tecnica 'ue auGilia os desen6ol6edores de hard5are e per&ite u&a tecnologia &ais r0pida.

Você também pode gostar