Você está na página 1de 1

## UFPR, BCC, ci210 2013-2 trabalho semestral, autor: Roberto Hexsel, 18nov run.sh -- O script run.

sh compila e executa a simulao. Diga ./run.sh -h para ver os argumentos de linha de comando. ESTE ARQUIVO NO PODE SER ALTERADO. aux.vhd -- cdigo VHDL com portas lgicas, FFs, registradores. ESTE ARQUIVO NO PODE SER ALTERADO. crc12.vhd -- seu cdigo para o modelo do filtro deve ser inserido neste arquivo. packageWires.vhd -- cdigo VHDL com definies para o testbench. ESTE ARQUIVO NO PODE SER ALTERADO. files.vhd -- cdigo VHDL que l um arquivo e que escreve um arquivo. Os valores lidos do arquivo de entrada so impressos na tela; os valores escritos no arquivo de sada so impressos na tela. ESTE ARQUIVO NO PODE SER ALTERADO. tb_crc12.vhd -- testbench completo para testar os circuitos de transmisso e de recepo. O programa efetua a leitura do arquivo de entrada e inicia sua transmisso. No uma boa ideia alterar este arquivo. v.sav -- configurao do gtkwave para desenvolvimento da transmisso tx.sav -- configurao do gtkwave para desenvolvimento da transmisso rx.sav -- configurao do gtkwave para desenvolvimento da recepo

Você também pode gostar