Você está na página 1de 1

CONTADOR BCD

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.STD_LOGIC_ARITH.all; USE IEEE.STD_LOGIC_UNSIGNED.all; --CONTADOR BCD ENTITY CONTADOR_BCD IS PORT (CLK,CLEAR,EN Q END CONTADOR_BCD; ARCHITECTURE SOLUCION OF CONTADOR_BCD IS BEGIN PROCESS (CLK,CLEAR,EN) BEGIN IF(CLK'EVENT AND CLK = '1') THEN IF EN='1'THEN IF CLEAR = '1'THEN Q <= "0000"; ELSIF (Q = "1010") THEN Q <= "0000"; ELSE Q <= Q + '1'; END IF; END IF; END IF; END PROCESS; END SOLUCION; : IN STD_LOGIC; : BUFFER STD_LOGIC_VECTOR(3 downto 0));

Você também pode gostar