Você está na página 1de 20

1 2 3 4

A
VIA Apollo ProMedia Board Schematics 603TCF A

TITLE SHEET No.


COVER SHEET 1

SOCKET 370 PROCESSOR 2,3

NORTH BRIDGE VT8601/A 4,5

SOUTH BRIDGE VT82C686A/B 6,7

CLOCK SYNTHESIZER 8
B B

AGTL+ BUS AND PULL UP RESISTORS 9

SDRAM DIMM SLOTS 1/2 10

PCI SLOT1 & PCI SLOT2 11

PCI SLOT3 & USB 2/3 12

ISA SLOT & SYSTEM ROM 13

IDE CONNECTORS & WAKE UP CIRCUITRY 14

FRONT PANEL & BACK PANEL CONNECTOR (USB 0/1) 15


C C

FAN CONTROL CIRCUITRY & VGA CONNECTOR 16

AC'97 AUDIO CODEC & AUDIO PORTS 17

DC-DC CONVERTERS 18

ATX POWER CONNECTORS & BYPASS CAPACITORS 19

RTL 8100BL LAN 20

D D
VIA TECHNOLOGIES ASSUMES NO RESPONSIBILITY FOR ANY ERRORS
IN DRAWING THESE SCHEMATICS. THESE SCHEMATICS ARE SUBJECT
JET WAY INFORMATION
TO CHANGE AT ANY TIME WITHOUT NOTICE. Title
COVER SHEET
COPYRIGHT 2000 VIA TECHNOLOGIES INCORPORATED. Size Document Number Rev
Custom J603TCF 3.0

Date: Thursday, June 27, 2002 Sheet 1 of 20


1 2 3 4
1 2 3 4
HD[0..63]
HD[0..63] 4,9

HA[3..31] U4
4,9 HA[3..31] HA3 HD0
AK8 A3 D0 W1
HA4 AH12 T4 HD1 -SMI_ C14 NC-56P
HA5 A4 D1 HD2
AH8 A5 D2 N1
HA6 AN9 M6 HD3 -STPCLK C13 NC-56P
HA7 A6 D3 HD4
AL15 A7 D4 U1
HA8
HA9
HA10
AH10
AL9
AH6
A8
A9
SOCKET 370 D5
D6
S3
T6
J1
HD5
HD6
HD7
-SLP

-CPUINIT
C10

C9
NC-56P

NC-56P
HA11 A10 D7 HD8
AK10 A11 D8 S1
HA12 AN5 P6 HD9 INTR C30 NC-56P
A HA13 A12 D9 HD10 A
AL7 A13 D10 Q3
HA14 AK14 GND VCC2 M4 HD11 -FERR_ C8 NC-56P
HA15 A14 D11 HD12
AL5 A15 D12 Q1
HA16 AN7 A37 D34 AA5 F2 L1 HD13 -IGNNE C12 NC-56P
HA17 A16 AB32 E7 AB2 F4 D13 HD14
AE1 A17 D14 N3
HA18 Z6 AC5 E11 AA37 F14 U3 HD15 -A20M C44 NC-56P
HA19 A18 AC33 E15 AB34 F22 D15 HD16
AG3 A19 D16 H4
HA20 AC3 AD2 E19 AD32 F26 R4 HD17
HA21 A20 AD34 F20 AE5 F30 D17 HD18 VTT
AJ1 A21 D18 P4
HA22 AE3 AF32 F24 AF2 F34 H6 HD19
HA23 A22 AG5 F28 AF34 H32 D19 HD20 Place 0603 Package
AB6 A23 D20 L3
HA24 AB4 AH2 F32 AH24 H36 G1 HD21 C152,C153 near AB36
HA25 A24 AH34 F36 AH32 J5 D21 HD22 R66 PIN
AF6 A25 D22 F8
HA26 AJ7 G5 AH36 K2 HD23 75 1% C99 C89 C72
Y3 A26 D23 G3
HA27 AA1 AJ11 H2 AJ5 K32 K6 HD24 .1u .1u .1u
HA28 A27 AJ15 H34 AJ9 K34 D24 HD25 CPUVREF
AK6 A28 D25 E3
HA29 Z4 AJ19 K36 AJ13 M32 E1 HD26
HA30 A29 AJ23 L5 AJ17 N5 D26 HD27 C97
AA3 A30 D27 F12
HA31 AD4 AJ27 M2 AJ21 P2 A5 HD28 R63
A31 AL3 M34 AJ25 P34 D28 HD29 .1u
D29 A3 150 1%
-ADS AN31 AM6 P32 AJ29 R32 J3 HD30
4,9 -ADS -DRDY ADS GTL AM10 P36 AK2 R36 D30 HD31
4,9 -DRDY AN27 DRDY D31 C5
-DBSY AL27 AM14 Q5 AK34 S5 F6 HD32 Use 0603 Packages and
4,9 -DBSY -HTRDY DBSY AM18 R34 AM4 T2 D32 HD33 distribute within 500
4,9 -HTRDY AN25 TRDY D33 C1
AM22 T32 AM8 T34 C7 HD34 mils of CPUVREF inputs
4,9 -HREQ[0..4] -HREQ0 AM26 T36 AM12 V32 D34 HD35 (1 cap for every 1 inputs)
AK18 REQ[0] D35 B2
-HREQ1 AH16 AM30 U5 AM16 V36 C9 HD36
-HREQ2 REQ[1] AM34 V2 AM20 W5 D36 HD37
AH18 REQ[2] D37 A9
B -HREQ3 AL19 B4 V34 AM24 Y35 D8 HD38 CPUVREF B
-HREQ4 REQ[3] B8 X32 AM28 Z32 D38 HD39
AL17 REQ[4] D39 D10 C88 C87 C46 C64
-BREQ0 AN29 B12 X36 B6 D36 C15 HD40
4,9 -BREQ0 -BPRI BR0 B16 Y5 AM32 C3 D40 HD41
4,9 -BPRI AN17 BPRI D41 D14
-BNR AH14 B20 Y37 B10 D12 HD42 .1u .1u .1u .1u
4,9 -BNR -HLOCK BNR B24 Z2 B14 D42 HD43
4,9 -HLOCK AK20 LOCK D43 A7
B28 Z34 B18 A11 HD44 VCC2_5
-HIT B32 B22 D44 HD45
4,9 -HIT AL25 HIT D45 C11
-HITM AL23 D2 B26 A21 HD46
4,9 -HITM -DEFER HITM D4 B30 D46 HD47
4,9 -DEFER AN19 DEFER D47 A15 R28
D18 B34
C3 A17 HD48 150 1%
-RS0 D22 D6 D48 HD49
4,9 -RS[0..2] AH26 RS[0] D49 C13
-RS1 AH22 D26 D20 C25 HD50
-RS2 RS[1] D30 D24 D50 HD51 CLKREF
AK28 RS[2] D51 A13
D28 D16 HD52
D32 D52 HD53
R91 C35 A23
-CPURST BPM[0] E5 D53 HD54 C3
4,9 -CPURST E35 BPM[1] D54 C21 R27
G33 E9 C19 HD55 10U_SMD
BP[2] E13 D55 HD56
C102 1K E37 C27 150 1%
BP[3] E17 D56 HD57
D57 A19
VCMOS .1u HD58
X4 RESET/GND* D58 C23
AH4 C17 HD59
R35 330 RESET D59 HD60
J37 PREQ CMOS I/O D60 A25
A35 GTL A27 HD61
PRDY D61 HD62
D62 E25
-FERR_ AC35 F16 HD63 C21
9 -FERR_ -IGNNE FERR D63 NC-18P
6,9 -IGNNE AG37 IGNNE
-A20M AE33 W37
6,9 -A20M A20M BCLK CPUCLK 8
CMOS I/O Y33 CLKREF
C *BCLK_/CLKREF C
PICD0 J35 AJ33
9 PICD0 PICD[0] BSEL0 -BSEL0 8
PICD1 L35 AK26
9 PICD1 PICD[1] PWRGOOD CPWOK 18
APICCLK J33 AJ31
8 APICCLK PICCLK BSEL1 -BSEL1 8
THERMDP AL31
INTR M36 AL29
6,9 INTR NMI INTR/LINT[0] THERMDN
6,9 NMI L37 NMI/LINT[1]
-SMI_ AJ35 C37 VCMOS
9 -SMI_ -STPCLK SMI CMOS I/O *For intel FC-PGA2 cpu CPUPRES
6,9 -STPCLK AG35 STPCLK *VTT/EDGCTRL AG1 PIN_AG1 3
-SLP AH30 AH28 R37 330
6,9 -SLP -FLUSH SLP THERMTRIP VID0
9 -FLUSH AE37 FLUSH VID[0] AL35 VID0 18
-CPUINIT AG33 AM36 VID1 VCC2
6,9 -CPUINIT INIT VID[1] VID1 18
VCMOS AE35 AL37 VID2 C22
IERR VID[2] VID2 18 .1u
R36 330 E33 AJ37 VID3
VREF0 VID[3] VID3 18
F18 AK36 VID4
VREF1 *VID_25MV/GND TCK VID4 18
K4 VREF2 TCK AL33 TCK 18
CPUVREF R6 AN35 TDI L8
R72 VREF3 TDI TDO 4.7uH
V6 VREF4 TDO AN37
AD6 AK32 TMS
75 1% VTT VREF5 TMS -TRST
AK12 VREF6 TRST AN33
VCMOSREF VCMOSREF AK22 W33
VREF7/VCMOSREF* PLL1 TC3
AD36 VCC_1.5V/VTT* PLL2 U33
C60 Z36 VCC_2.5V/RSV* RSRVD48 E21
AB36 E27 10UF_SMD
.1u VCC_CMOS/VTT* RSRVD49 SLEWCTRL 9
R73 AH20 S35
VTT RSRVD51 RTTCTRL 9
150 1% AK16 AN11 VTT C55
VTT VTT
AL13 VTT VTT AN15
AL21 VTT VTT G35
N37 G37 .1u
D 3 NCHCTRL RSV/NCHCTRL* *VTT/RSV D
C80 C77 C69 AM2 RSV/GND*
3 X34 X34 VCC2/VTT*
.1u .1u .1u
SOCKET 370_A
VCMOS

TCK R44 NC-330


JET WAY INFORMATION
CB394 1U -TRST R49 NC-680 Title
6,9 NMI TDI R45 NC-330 SOCKET 370 PROCESSOR
TDO R43 NC-150 Size Document Number Rev
Custom J603TCF 3.0
TMS R48 NC-1K
Date: Thursday, June 27, 2002 Sheet 2 of 20
1 2 3 4
A B C D E

VTT VTT
VTT U4B
AA33 VTT
AA35 VTT
AN21 VTT
C126 C143 E23 VTT
S33 VTT
S37 VTT
4 0.1U 1U U35 4
VTT
U37 VTT
AL1

D
GND/RSV*
AJ3 GND/RSV*
DYN_OE AN3 Q14 Q9
VTT_PWGD R15 1K GND/DYN_OE* 2N7002 2N7002
18 VTT_PWGD AK4 GND/VTT_PWGD*
PIN_AF36 AF36 TUAL5 G TUAL5 G
GND/CPUDET*
A29 DEP7
TUAL5:

S
TUALATIN:HI SET AG1 = VTT
SOCKET 370_B COPPERMINE:LO SET AG1 = GND

X34 2
PIN_AG1
2 PIN_AG1
VTT

R106 1K DYN_OE R88


1K
R24 14 1% NCHCTRL
NCHCTRL 2

3 3

R4

VTT 2.2K

2 2
TUAL5
TUAL5 8,18
R3 TUAL5:
D

2.2K
TUALATIN:HI
Q7 COPPERMINE:LO
R17 2N7002
1K G
S

C
PIN_AF36 B Q6 -TUAL5
-TUAL5 18
EMMBT3904
AF36: -TUAL5:
TUALATIN:HI TUALATIN:LO
COPPERMINE:LO COPPERMINE:HI

1 1

JET WAY INFORMATION


Title
SOCKET 370 PROCESSOR(PART-2)
Size Document Number Rev
Custom J603TCF 3.0

Date: Thursday, June 27, 2002 Sheet 3 of 20


A B C D E
1 2 3 4
RN37
2,9 HA[3:31] 7 8
VCC3 5 6 1
TP1
3 4 1
TP3

HA3
HA4
HA5
HA6
HA7
HA8
HA9
HA10
HA11
HA12
HA13
HA14
HA15
HA16
HA17
HA18
HA19
HA20
HA21
HA22
HA23
HA24
HA25
HA26
HA27
HA28
HA29
HA30
HA31
VCC3 1 2
4.7K 8P4R 1
TP2
VCC2_5

AA18

AC1
AC2
AC3
AD1
AD2
AD3
L16

AA9

AB1
AA4
AA5
AA3
AB2
AB3
AB4

AE1
D24

C26
C24

C25
D22

D25

C23
D21

C22

C20

D20
C21
A25

B25
B26
E23

A23

B24

A20

A21
B23
A22
B21
E20
B22
B19

A24
B20

V21
F22
ADVDD_V1

V6

Y5
U9

J6
FB
ADVDD_V1
2,9 HD[0:63] HD0 E19 C171 TC15

A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
A18
A19
A20
A21
A22
A23
A24
A25
A26
A27
A28
A29
A30
A31

VMD49
VMD50
VMD51
VMD52
VMD53
VMD54
VMD55
VMD56
VMD57
VMD58
VMD59
VMD60
VMD61
VMD62
VMD63
VCC
VCC
VCC
VCC
VCC
HD1 HD0
B18 C170
HD2 HD1 RED 1u 10u
B16 HD2 RED C2 RED 16
A HD3 GREEN 1000p A
A16 HD3 GREEN D3 GREEN 16
HD4 C18 D2 BLUE
HD4 BLUE BLUE 16
HD5 C17 E2 HSYNC
HD5 HSYNC HSYNC 16
HD6 D18 E1 VSYNC
HD6 VSYNC VSYNC 16
HD7 D15 F2 SDA
HD7 SDA SDA 16
HD8 D17 F3 SCL VCC2_5
HD8 SCL SCL 16
HD9 C16 E4 COMP C162 .1u AVDD_V3
HD10 HD9 COMP IRSET
B17 HD10 IRSET E3 GND_V3 L13
HD11 D16 R123 360 1% FB AVDD_V3
HD12 HD11 AVDD_V3
A17 HD12 TVD0/VMD44 V1
HD13 A15 V2 C155 C154 TC13
HD14 HD13 TVD1/VMD45
E16 HD14 TVD2/VDQM4 U5
HD15 1000p 1u 10u
D19 HD15 TVD3/VMD46 V3
HD16 A14 T5 GND_V3
HD17 HD16 TVD4/VDQM5
E18 HD17 TVD5/VMD42 U4
HD18 E17 T6 L15 GND_V3
HD19 HD18 TVD6/VDQM7
B14 HD19 TVD7/VDQM6 U2 FB
HD20 C15 V5
HD21 HD20 TVHS//VMD43
E14 HD21 TVVS/VMD48 W3
HD22 B11 V4
HD23 HD22 TVCLK/VMD47
D14 HD23 VCC2_5
HD24 B15 Y3 VLF1 C213 560p GND_V1
HD25 HD24 VLF1 VLF2 C217 560p
D13 HD25 VLF2 AA2 GND_V2 L24
HD26 C13 Y4 XLTI 1 2GUICLK FB ADVDD_V2
HD26 XTLI GUICLK 8
HD27 E9 W4 S3
HD28 HD27 XTLO -INT_A
C12 W5 -INTR_A 6,11,12 C202 C201 TC20
HD29 HD28 INTA -SUSPEND
D12 HD29 SUSPEND F5
HD30 -ENTEST R143 1000p 1u 10u
E15 HD30 ENTEST F4 4.7K VCC3
B HD31 A13 U6 B
HD32 HD31 5VSF
B12 HD32 IMIO/VMD22 M2
HD33 B13 M3 IMIIN
HD34 HD33 IMIIN/VMD26
A12 HD34 VCC2_5
HD35 E13 R6
HD36 HD35 CAPD0/VSWE
D11 HD36 CAPD1/VDQM2 T2 L27
HD37 D10 T1 FB AVDD_V1
HD38 HD37 CAPD2/VDQM1
A11 HD38 CAPD3/VSRAS R5
HD39 E10 R2 C211 TC22
HD40 HD39 CAPD4/VMA1
E8 R4 C212
HD41 HD40 CAPD5/VSCAS 1000p 10u
C9 HD41 CAPD6/VMA0 R1
HD42 1u
D9 HD42 CAPD7/VMA2 R3
HD43 C11 P5
HD44 HD43 CAPD8/VMA10 L29 GND_V1
B10 HD44 CAPD9/VMA5 P2
HD45 A10 P3 FB
HD46 HD45 CAPD10/VMA3
E7 HD46 CAPD11/VMA4 P4
HD47 D8 N5
HD48 HD47 CAPD12/VMA9
B8 HD48 CAPD13/VMA6 N2 VCC2_5
HD49 C10 N1
HD50 HD49 CAPD14/VMA7
B6 HD50 CAPD15/VMA8 N4 L33
HD51 B9 T3 FB AVDD_V2
HD52 HD51 CAPHS/VMDCLK
F8 HD52 CAPVS/VDQM0 U1
HD53 D6 U3 C215 C216 TC24
HD54 HD53 CAPCLK/VDQM3
D7 HD54
HD55 1000p 1u 10u
C7 HD55 ENPBLT/VMD1 G1
HD56 E5 H5
HD57 HD56 ENPVEE/VMD2
A7 HD57 ENPVDD/VMD0 F1
HD58 E6 G4 L31 GND_V2
HD59 HD58 SFCLK/VMD13
C B7 HD59 DE/VMD11 H3 FB C
HD60 C6 G3
HD61 HD60 FLM/VMD3
D5 HD61 LP/VMD15 G5
HD62 A6
HD63 HD62 IMIIN R144
A8 HD63 PD0/VMD14 G2 VCC3
PD1/VMD12 H2 4.7K
-ADS J24 H1
2,9 -ADS -BNR ADS PD2/VMD4
2,9 -BNR D26 BNR PD3/VMD10 J2
-BPRI E26 J1 VCC3
2,9 -BPRI -DBSY BPRI PD4/VMD6
2,9 -DBSY H26 DBSY PD5/VMD9 H4
-DEFERR F26 K6
2,9 -DEFER -DRDY DEFER PD6/VMD28 R122
2,9 -DRDY J23 DRDY PD7/VMD7 J4
-HLOCK G23 J3 4.7K
2,9 -HLOCK -HITM HLOCK PD8/VMD5 -SUSPEND
2,9 -HITM G26 HITM PD9/VMD27 L5
-HIT G24 K2
2,9 -HIT -BREQ0 HIT PD10/VMD8
2,9 -BREQ0 J25 BREQ0 PD11/VMD31 J5
-HTRDY G25 K1 S1
2,9 -HTRDY -CPURST HTRDY PD12/VMD16
2,9 -CPURST A19 CPURST PD13/VMD17 K3
-RS0 H23 L6
2,9 -RS0 RS0 PD14/VMD20 GPO1 6
-RS1 K23 L2
2,9 -RS1 -RS2 RS1 PD15/VMD29
2,9 -RS2 H25 RS2 PD16/VMD18 K5
-HREQ0 E24 L1
2,9 -HREQ0 -HREQ1 HREQ0 PD17/VMD19
2,9 -HREQ1 F23 HREQ1 PD18/VMD21 L3
-HREQ2 F24 M6
2,9 -HREQ2 -HREQ3 HREQ2 PD19/VMD23
2,9 -HREQ3 F25 HREQ3 PD20/VMD30 K4
-HREQ4 E25 M4
2,9 -HREQ4 HREQ4
ADVDD_V2

ADVDD_V1

PD21/VMD24
AGND_V1

AGND_V2
AGND_V4
AGND_V3

M5
AVDD_V1

AVDD_V2

AVDD_V3

PD22/VMA11
E12 M1
VMD32
VMD33
VMD34
VMD35
VMD36
VMD37
VMD38
VMD39
VMD40
VMD41

GTLVREF PD23/VMD25
VTT R119 75 1% GTLVREF E21
AA15GND
F16 GND
L11 GND
N11 GND
P11 GND
T11 GND
M12 GND
N12 GND
P12 GND
R12 GND
L13 GND

GTLVREF
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT

D D
R118 C164
AA1
G21

150 1%
E11

K21
F19

F10
F12
F17
F18
F20

C163 VT8601T
J21

W1
W2

C1
D1

C5

C4

C3
A1
B1

A5
B5

A4
B4

A3
B3

A2
Y1
Y2
F7
F9

1u
1000p
VTT JET WAY INFORMATION
GND_V4
GND_V3
AVDD_V3
ADVDD_V1

1u (BOT) C165 C85


CB1 1u C141 ADVDD_V2 Title
1u 1u AVDD_V1 NORTH BRIDGE VT8601 (PART1)
GND_V1
C156 AVDD_V2 Size Document Number Rev
Under NB 1000p GND_V2 C J603TCF 3.0

GND_V4 Date: Thursday, June 27, 2002 Sheet 4 of 20


1 2 3 4
1 2 3 4

10 MD[0:63]

MD0
MD1
MD2
MD3
MD4
MD5
MD6
MD7
MD8
MD9
MD10
MD11
MD12
MD13
MD14
MD15
MD16
MD17
MD18
MD19
MD20
MD21
MD22
MD23
MD24
MD25
MD26
MD27
MD28
MD29
MD30
MD31
MD32
MD33
MD34
MD35
MD36
MD37
MD38
MD39
MD40
MD41
MD42
MD43
MD44
MD45
MD46
MD47
MD48
MD49
MD50
MD51
MD52
MD53
MD54
MD55
MD56
MD57
MD58
MD59
MD60
MD61
MD62
MD63
AD22

AD20

AC19

AC18

AD17

AC16

AC21
AD21

AC20

AD18

AC17
AD16
AB21
AE21
AB20

AE20

AE18

AB17
AE16

AE22

AB19
AE19
AB18

AA19
AE17

AB16
AF22

AF19

AF17

AF21

AF20

AF16
M23

M26
M24

M22

M25
N26
N24

R23
R25

N23
N25
N22

R26
R24
R22

U23
K25

P23
P25

P22

K26

P26
P24
T23
T25
T22

T26
T24
L26
L25

L23

L24
U9A

A MA0 AA23 AF14 A_D0 A

MD0
MD1
MD2
MD3
MD4
MD5
MD6
MD7
MD8
MD9
MD10
MD11
MD12
MD13
MD14
MD15
MD16
MD17
MD18
MD19
MD20
MD21
MD22
MD23
MD24
MD25
MD26
MD27
MD28
MD29
MD30
MD31
MD32
MD33
MD34
MD35
MD36
MD37
MD38
MD39
MD40
MD41
MD42
MD43
MD44
MD45
MD46
MD47
MD48
MD49
MD50
MD51
MD52
MD53
MD54
MD55
MD56
MD57
MD58
MD59
MD60
MD61
MD62
MD63
10 MA0 MA0 AD0 A_D0 6,11,12,20
MA1 AB23 AE14 A_D1
10 MA1 MA1 AD1 A_D1 6,11,12,20
MA2 AB26 AE13 A_D2
10 MA2 MA2 AD2 A_D2 6,11,12,20
MA3 AB25 AF13 A_D3
10 MA3 MA3 AD3 A_D3 6,11,12,20
VCC2_5 VCC3 MA4 AB24 AC14 A_D4
10 MA4 MA4 AD4 A_D4 6,11,12,20
U9B MA5 AC26 AB14 A_D5
10 MA5 MA5 AD5 A_D5 6,11,12,20
J9 R21 MA6 AC25 AC13 A_D6
VDD VCC 10 MA6 MA6 AD6 A_D6 6,11,12,20
J10 AA11 MA7 AC24 AB13 A_D7
VDD VCC 10 MA7 MA7 AD7 A_D7 6,11,12,20
J11 AA16 MA8 AD26 AE12 A_D8
VDD VCC 10 MA8 MA8 AD8 A_D8 6,11,12,20
J12 MA9 AD25 AD12 A_D9
VDD 10 MA9 MA9 AD9 A_D9 6,11,12,20
J15 F11 MA10 AE26 AB12 A_D10
VDD GND 10 MA10 MA10 AD10 A_D10 6,11,12,20
J16 F15 MA11 AD24 AC12 A_D11
VDD GND 10 MA11 MA11 AD11 A_D11 6,11,12,20
J17 J13 MA12 AE24 AF11 A_D12
VDD GND 10 MA12 MA12 AD12 A_D12 6,11,12,20
J18 J14 MA13 AE25 AE11 A_D13
VDD GND 10 MA13 MA13 AD13 A_D13 6,11,12,20
K9 N9 MA14 AF25 AD11 A_D14
VDD GND 10 MA14 MA14 AD14 A_D14 6,11,12,20
K18 N18 AC11 A_D15
VDD GND AD15 A_D15 6,11,12,20
L9 P9 -RAS0 Y26 AA8 A_D16
VDD GND 10 -RAS0 RAS0 AD16 A_D16 6,11,12,20
L18 P18 -RAS1 Y25 AC9 A_D17
VDD GND 10 -RAS1 RAS1 AD17 A_D17 6,11,12,20
M9 V13 -RAS2 Y24 AF8 A_D18
VDD GND 10 -RAS2 RAS2 AD18 A_D18 6,11,12,20
M18 V14 -RAS3 Y23 AE8 A_D19
VDD GND 10 -RAS3 RAS3 AD19 A_D19 6,11,12,20
R9 AA12 Y22 AE7 A_D20
VDD GND RAS4 AD20 A_D20 6,11,12,20
R18 W21 AB8 A_D21
VDD RAS5 AD21 A_D21 6,11,12,20
T9 AF7 A_D22
VDD AD22 A_D22 6,11,12,20
T18 -CAS0 V23 AC8 A_D23
VDD 10 -CAS0 CAS0 AD23 A_D23 6,11,12,20
U9 -CAS1 W23 AC7 A_D24
VDD 10 -CAS1 CAS1 AD24 A_D24 6,11,12,20
U18 -CAS2 AF24 AB7 A_D25
VDD 10 -CAS2 CAS2 AD25 A_D25 6,11,12,20
V9 -CAS3 AE23 AF6 A_D26
VDD 10 -CAS3 CAS3 AD26 A_D26 6,11,12,20
V10 -CAS4 W26 AE6 A_D27
VDD 10 -CAS4 CAS4 AD27 A_D27 6,11,12,20
B V11 -CAS5 W25 AD6 A_D28 B
VDD 10 -CAS5 CAS5 AD28 A_D28 6,11,12,20
V12 -CAS6 AD23 AC6 A_D29
VDD 10 -CAS6 CAS6 AD29 A_D29 6,11,12,20
V15 -CAS7 AF23 AB6 A_D30
VDD 10 -CAS7 CAS7 AD30 A_D30 6,11,12,20
V16 AF5 A_D31
VDD AD31 A_D31 6,11,12,20
V17 -SRAS AA24
VDD 10 -SRAS SRASA C_-BE0
V18 VDD AA25 SRASB/CKE5 CBE0 AF12 C_-BE0 6,11,12,20
AA26 AB11 C_-BE1
SRASC/CKE4 CBE1 C_-BE1 6,11,12,20
VT8601T -SCAS U22 AD9 C_-BE2
10 -SCAS SCASA CBE2 C_-BE2 6,11,12,20
CKE3 V25 AD7 C_-BE3
10 CKE3 SCASB/CKE3 CBE3 C_-BE3 6,11,12,20
CKE1 V24
10 CKE1 -SWE SCASC/CKE1 -REQ0
10 -SWE U24 SWEA REQ0 AC5 -REQ0 11
CKE2 U25 AD5 -REQ1
10 CKE2 SWEB/CKE2 REQ1 -REQ1 11
CKE0 U26 AE4 -REQ2
10 CKE0 SWEC/CKE0 REQ2 -REQ2 12
AD4 -REQ3 -REQ3 20
HCLK REQ3 -REQX
8 HCLK G22 CCLK REQX AF2
DCLKO_ J22 AC15 -PREQ
DCLKO PREQ -PREQ 6
DCLKI K22
8 DCLKI DCLKI -GNT0
GNT0 AB5 -GNT0 11
-SUSSTNT AC22 AF4 -GNT1
6 -SUSSTNT PLLTEST SUS_ST GNT1 -GNT1 11
K24 AF3 -GNT2
PLLTEST GNT2 -GNT2 12
E22 AE3 -GNT3 -GNT3 20
PW_GOOD CRESET GNT3 -GNTX
6,19 PW_GOOD AD14 POWORK GNTX AE2
-RESETX AE15 AD15 -PGNT
7 -RESETX RESETX PGNT -PGNT 6
V22 AE9 -FRAME
VSUS33 FRAME -FRAME 6,11,12,20
V_DIM W22 AE5 -PLOCK
VSUS33 PLOCK -PLOCK 11,12
AB22 AB10 PAR
D15 2V5_SB VSUS33 PAR PAR 6,11,12,20
AA22 AF10 -SERR
VSUS25 SERR -SERR 6,11,12,20
AD10 -TRDY
C TRDY -TRDY 6,11,12,20 C
NC-SM17 M21 AC10 -IRDY
GND IRDY -IRDY 6,11,12,20
M13 AE10 -STOP
GND STOP -STOP 6,11,12,20
CB16 CB15 N13 AB9 -DEVSEL
GND DEVSEL -DEVSEL 6,11,12,20
P13 GND
R13 AB15 NPCLK
GND PCLK NPCLK 8
1UF(BOT) 1UF(BOT) T13 AF15 -CLKRUN
GND CLKRUN -CLKRUN 6
L14 GND
M14 H22 AVDD_N L17 FB VCC2_5
GND AVDD C176
N14 H21 C177 TC16
GND AVDD 1u
P14 GND AGND L22 L14
1000p AGND 10u
R14 GND AGND L21
T14 FB
M15 GND
N15 GND
P15 GND
R15 GND
L16 GND
N16 GND
P16 GND
T16 GND
P1 GND
AF1 GND
B2 GND
N3 GND
D4 GND
AC4 GND
F6 GND
N6 GND
P6 GND
AA6 GND
C8 GND
AD8 GND
A9 GND
AF9 GND
F13 GND
AA13GND
AD13GND
C14 GND
F14 GND
AA14GND
A18 GND
AF18 GND
C19 GND
AD19GND
F21 GND
N21 GND
P21 GND
AA21GND
D23 GND
AC23GND
H24 GND
W24 GND
A26 GND
J26 GND
V26 GND
AF26 GND
L12 GND
L15 GND
M11 GND
M16 GND
R11 GND
R16 GND
T12 GND
T15 GND

G6 VCC
H6 VCC
W6 VCC
Y6 VCC
AA7 VCC
AA10VCC
AA17VCC
AA20VCC
U21 VCC
Y21 VCC
L4 VCC
T4 VCC
GND
T21 GND
VT8601T

VCC3

V_DIM

RB1 DCLKO_ R120 120 2V5_SB


8 DCLKO
22
R121 120 R124 ANODE VCC3
(Near NB)
CB8 RN38
D 10p 3 -REQ3 2 1
D
0 -REQX 4 3
D6
431 -GNTX 6 5
C230 10p DCLKI -GNT3 8 7
SC431CSK 1 2
CB9
R126
REF CATHODE
4.7K 8P4R JET WAY INFORMATION
NC-22p (BOT) Title
R136 PLLTEST NC-0 NORTH BRIDGE VT8601T (PART 2)
4.7K
Size Document Number Rev
C J603TCF 3.0
PLACE THESE COMPONENTS NEAR NB
Date: Thursday, June 27, 2002 Sheet 5 of 20
1 2 3 4
1 2 3 4

I2CCLK & I2CDATA PULL UP RESISTOR


U15 SHOULD PLACE TRACE END
PD_0 P16 W18 BITCLK_
PDD0 SDD0/BITCLK BITCLK_ 17
PD_1 P18 V17 SDIN_
PDD1 SDD1/SDIN SDIN_ 17 RN50 33 8P4R
PD_2 P20 Y17 SDIN2_ RN42 4.7K 8P4R
PD_3 PDD2 SDD2/SDIN2 SYNC SCS_1
R17 PDD3 SDD3/SYNC V16 R214 22 SYNC 17 7 8 -SDCS1 -SDCS1 14
PD_4 R19 Y16 R215 22 SDOUT VCC3 SCS_3 5 6 -SDCS3
PDD4 SDD4/SDOUT SDOUT 17 -SDCS3 14
PD_5 T16 U15 R220 22 -ACRST SD_A0 3 4 SDA0
PDD5 SDD5/-ACRST -ACRST 17 SDA0 14
PD_6 T18 W15 JBCY I2CCLK 1 2 SD_A2 1 2 SDA2
PDD6 SDD6/JBY JBCY 17 SDA2 14
PD_7 T20 U14 JBCX GPIOD 3 4
PDD7 SDD7/JBX JBCX 17
PD_8 T19 Y15 JACY I2CDATA 5 6
PDD8 SDD8/JAY JACY 17
PD_9 T17 V15 JACX GPIOA 7 8
PDD9 SDD9/JAX JACX 17
PD_10 R20 T15 JAB2
PDD10 SDD10/JAB2 JAB2 17
A PD_11 R18 W16 JAB1 -PGNT R206 10K -SDIOW 2 1 -SIOW A
PDD11 SDD11/JAB1 JAB1 17 14 -SDIOW
PD_12 R16 U16 JBB2 -SDDACK 4 3 -SACK
PDD12 SDD12/JBB2 JBB2 17 14 -SDDACK
PD_13 P19 W17 JBB1 -PREQ R205 10K -SDIOR 6 5 -SIOR
PDD13 SDD13/JBB1 JBB1 17 14 -SDIOR
PD_14 P17 Y18 MSO SDA1 8 7 SD_A1
PDD14 SDD14/MSO MSO 17 14 SDA1
PD_15 N20 Y19 MSI -CLKRUN R197 100
PDD15 SDD15/MSI MSI 17 RN51 33 8P4R
PD_A0 M17 U19 SD_A0
PD_A1 PDA0 SDA0 SD_A1 SDIN2_ R216 4.7K
M19 PDA1 SDA1 V18
PD_A2 M18 U20 SD_A2 3V3_SB
PCS_1 PDA2 SDA2 SCS_1
L20 PDCS1 SDCS1 U17
PCS_3 M16 U18 SCS_3 R237 SDREQ R211 82 SDDREQ
PDCS3 SDCS3 SDDREQ 14
-PACK M20 V19 -SACK PD33_-66 4.7K SRY R207 82 SIORDY
PDDACK SDDACK SIORDY 14
PDREQ N19 Y20 SDREQ
-PIOR PDDREQ SDDREQ -SIOR
N17 PDIOR SDIOR W19
-PIOW N18 W20 -SIOW
PRY PDIOW SDIOW SRY
N16 PDRDY SDRDY V20

A_D0 L17 Y7 -A20M IR


5,11,12,20 A_D0 AD0 A20M -A20M 2,9
A_D1 L16 V8 1
5,11,12,20 A_D1 A_D2 AD1 CPURST -FERR 3V3_SB
5,11,12,20 A_D2 K20 AD2 FERR V7 -FERR 9 2
A_D3 K19 Y8 -IGNNE 3 IRRX
5,11,12,20 A_D3 AD3 IGNNE -IGNNE 2,9 IRRX 7
5,11,12,20 A_D4
A_D4 K18 AD4 INIT T6 -CPUINIT
-CPUINIT 2,9
SD33_-66 1 2
RN55
IR 4
A_D5 K17 W8 INTR -BATLOW 3 4 5 IRTX
5,11,12,20 A_D5 AD5 INTR INTR 2,9 IRTX 7
A_D6 K16 U7 NMI SUS_CLK 5 6 10K 8P4R
5,11,12,20 A_D6 AD6 NMI NMI 2,9
A_D7 J20 T7 -SLP -RI 7 8 HEADER 1X5
5,11,12,20 A_D7 AD7 SLP/GPO7 -SLP 2,9
A_D8 J18 U6 -SMI -PME 1 2
5,11,12,20 A_D8 AD8 SMI -SMI 9
A_D9 J17 W7 -STPCLK -EXTSMI 3 4 RN56 3V3_SB
5,11,12,20 A_D9 AD9 STPCLK -STPCLK 2,9
B A_D10 J16 -SMBALT 5 6 10K 8P4R B
5,11,12,20 A_D10 A_D11 AD10 I2CCLK -SUSST
5,11,12,20 A_D11 H20 AD11 SMBCLK U9 I2CCLK 8,10 7 8
A_D12 I2CDATA R241
5,11,12,20 A_D12 H19 AD12 SMBDATA T9 I2CDATA 8,10 4.7K
A_D13 H18
5,11,12,20 A_D13 A_D14 AD13 PW_GOOD 3V3_SB R236 -PWRBTN
5,11,12,20 A_D14 H17 AD14 PWRGD W6 PW_GOOD 5,19 15,18 PW_BN
A_D15 H16 W12 -CLKRUN 68 C344
5,11,12,20 A_D15 AD15 CLKRUN -CLKRUN 5
A_D16 F16 V5 SPEAK
5,11,12,20 A_D16 AD16 SPKR SPEAK 15,17 .1u
A_D17 E20 W11 PD33_-66
5,11,12,20 A_D17 AD17 GPI1/IRQ8 PD33_-66 14
A_D18 E19 T14 GPIOA R134
5,11,12,20 A_D18 A_D19 AD18 GPIOA/GPIO8 GPIOD
5,11,12,20 A_D19 E18 AD19 *MCCS/GPIOD U8
A_D20 E17 T8 R232 4.7K 3V3_SB
5,11,12,20 A_D20 A_D21 AD20 GPO0 10K
5,11,12,20 A_D21 D20 AD21
A_D22 D19 D9
5,11,12,20 A_D22 A_D23 AD22 GPO4 -SUSST
5,11,12,20 A_D23 D18 AD23 CPUSTP/GPO4 Y12 VCC3 5 -SUSSTNT
A_D24 B20 V12 GPO5
5,11,12,20 A_D24 A_D25 AD24 PCISTP/GPO5 VCC3
A20 1N4148
5,11,12,20 A_D25 A_D26 AD25 -SUSST SPEAK
A19 V10 1K R218
5,11,12,20 A_D26 A_D27 AD26 SUSST1/GPO6 SUS_CLK
5,11,12,20 A_D27 B19 AD27 SUSCLK T10
A_D28 A18 NOTE: SECOND IDE BUS IS
5,11,12,20 A_D28 A_D29 AD28 -EXTSMI ASSIGNED TO AUDIO/GAME
5,11,12,20 A_D29 B18 AD29 EXTSMI Y10 -EXTSMI 15
A_D30 C18 V11 -RI
5,11,12,20 A_D30 AD30 RING/GPI7 -RI 14
A_D31 A17 T11 -PME 3V3_SB
5,11,12,20 A_D31 AD31 PME/GPI5/THRM -PME 11,12,20
U11 -BATLOW
C_-BE0 BATLOW/GPI2 -PWRBTN
5,11,12,20 C_-BE0 J19 C_BE0 PWRBTN Y11
C_-BE1 G20 V6 -RSMRST PDD0 8 7 PD_0
5,11,12,20 C_-BE1 C_BE1 RSMRST -RSMRST 18 RN45
C_-BE2 F17 U10 SD33_-66 PDD11 6 5 PD_11
5,11,12,20 C_-BE2 C_BE2 LID/APICREQ/GPI3 SD33_-66 14 -RSMRST R93
C_-BE3 C19 W10 -SMBALT 10K 33 8P4R PDD2 4 3 PD_2
5,11,12,20 C_-BE3 C_BE3 SMBALT/GPI6 PDD3 PD_3
2 1
-FRAME F18 V9 GPO1 PDD6 8 7 PD_6
C 5,11,12,20 -FRAME FRAME SUSA/APICACK/GPO1 GPO1 4 RN49 C
-IRDY F19 W9 -SUSB PDD5 6 5 PD_5
5,11,12,20 -IRDY IRDY SUSB/APICCS/GPO2 -SUSB 18,19 33 8P4R
-TRDY F20 Y9 -SUSC PDD8 4 3 PD_8
5,11,12,20 -TRDY TRDY SUSC -SUSC 18,19
-STOP G17 PDD7 2 1 PD_7
5,11,12,20 -STOP -DEVSEL STOP PDD4 PD_4
5,11,12,20 -DEVSEL G16 DEVSEL RN48 8 7
-SERR G18 +12V PDD9 6 5 PD_9
5,11,12,20 -SERR PAR SERR 33 8P4R PDD10 PD_10
5,11,12,20 PAR G19 PAR GND F15 4 3
A_D18 C20 G15 VCC3 PDD12 2 1 PD_12
5,11,12,20 A_D18 -PREQ IDSEL GND PDD13 PD_13
L18 L15 RN47 8 7
5 -PREQ -PGNT REQ GND VCC2_5 PDD1 PD_1
5 -PGNT L19 GNT GND P15 6 5
-PCIRST R219 R223 C329 R225 33 8P4R PDD14 PD_14
11,12,20 -PCIRST B16 PCIRST GND R15 C331 4 3
VCC2 10K 53K 1% 16K 1% PDD15 2 1 PD_15
-INTR_A A16 .1u .1u
4,11,12 -INTR_A -INTR_B PINTA R226 14 PDD[0:15]
11,12 -INTR_B D17 PINTB IN12 Y14
-INTR_C C17 10K 1% RN44
11,12 -INTR_C -INTR_D PINTC R230 PDA0 PD_A0
11,12,20 -INTR_D B17 PINTD IN5 W14 14 PDA0 8 7
10K 1% PDA1 6 5 PD_A1
SPCLK 14 PDA1 PDA2 PD_A2
E16 U13 C337 1u 4 3
8 SPCLK PCICLK IN2A 14 PDA2 -PDCS1 PCS_1
14 -PDCS1 2 1
3V3_SB C370 10p Y5 V13 C336 1u
RTCX1 IN2B
2

X3 33 8P4R
32.768KHz V14 R222 NC-0 RN46 33 8P4R
CHAS/GPIOC/GPIO10 -PDCS3
W5 RTCX2 L9 14 -PDCS3 8 7 PCS_3
HM_GND -PDIOR 5 -PIOR
1
A2

C362 10p W13 RT2 6


D17 3V3_SB TSEN1 14 -PDIOR -PDIOW
R9 R65 10K 1% 4 3 -PIOW
t

VCCSUS 103JT-025 14 -PDIOW -PDDACK


JBAT1 R10 VCCSUS VREF T13 14 -PDDACK 2 1 -PACK
C 1 R221 10K 1% L44
2 V_BAT Y6 Y13 RT1 PDDREQ R210 82 PDREQ
VBAT TSEN2 14 PDDREQ PIORDY
3 R173 82 PRY
t

D BAT54C TC29 CPUFAN1 103JT-025 14 PIORDY D


H15 T12
A1

10u VCC FAN1 CPUFAN1 16


J15 VCC
K15 U12 CPUFAN2
VCC FAN2/GPIOB/GPIO9 CPUFAN2 16
M15 VCC
VCC3 N15 VCC3
R170 C R7
VCC
VCC VCCHWM R12
C332 TC42
L45 FB JET WAY INFORMATION
1K R8
BAT54C R11
VCC Title
VCC .1u 10u
A1 A2 R14 VCC GNDHWM R13 L46 FB SOUTH BRIDGE VT82C68A (PART 1)
VT82C686A Size Document Number Rev
BAT1 HM_GND C 3.0
J603TCF
Date: Thursday, June 27, 2002 Sheet 6 of 20
1 2 3 4
1 2 3 4

RN63
33 8P4R
U15A RN61
SD_D0 P_PRD0 14 SDD[0:7]
W1 B15 P_PRD0 15 33 8P4R U17
SD_D1 *SA0/SDD0 PRD0 P_PRD1 SDD7 SD_D7 SA7
V2 *SA1/SDD1 PRD1 D15 P_PRD1 15 8 7 2 A0 B0 18 SA7 13
SD_D2 V1 A14 P_PRD2 SDD6 6 5 SD_D6 3 17 SA6
*SA2/SDD2 PRD2 P_PRD2 15 A1 B1 SA6 13
SD_D3 U3 B14 P_PRD3 SDD5 4 3 SD_D5 4 16 SA5
*SA3/SDD3 PRD3 P_PRD3 15 A2 B2 SA5 13
SD_D4 U2 C14 P_PRD4 SDD4 2 1 SD_D4 5 15 SA4
*SA4/SDD4 PRD4 P_PRD4 15 A3 B3 SA4 13
SD_D5 U1 D14 P_PRD5 SDD3 8 7 SD_D3 6 14 SA3
*SA5/SDD5 PRD5 P_PRD5 15 A4 B4 SA3 13
SD_D6 T4 E14 P_PRD6 SDD2 6 5 SD_D2 7 13 SA2
*SA6/SDD6 PRD6 P_PRD6 15 A5 B5 SA2 13
SD_D7 T3 A13 P_PRD7 SDD1 4 3 SD_D1 8 12 SA1
*SA7/SDD7 PRD7 P_PRD7 15 A6 B6 SA1 13
SD_D8 T2 SDD0 2 1 SD_D0 9 11 SA0
*SA8/SDD8 A7 B7 SA0 13
A SD_D9 T1 B13 A
*SA9/SDD9 ACK P_-ACK 15
SD_D10 R5 C13 -MASTER 1
*SA10/SDD10 BUSY P_BUSY 15 DIR
SD_D11 R4 D13 -SOE 19
*SA11/SDD11 PE P_PE 15 OE
SD_D12 R3 E13
*SA12/SDD12 SLCT P_SLCT 15
SD_D13 R2 A15 NC-F245
*SA13/SDD13 ERROR P_-ERR 15
SD_D14 R1 C15
*SA14/SDD14 PINIT P_-INIT 15
SD_D15 P5 C16
*SA15/SDD15 AUTOFD P_-AFD 15 14 SDD[8:15]
SA16 P4 E15 U18
13 SA16 SA16 SLCTIN P_-SLIN 15
SA17 P3 D16 SDD13 RN82B 4 3 SD_D13 2 18 SA13
13 SA17 SA17 STROBE P_-STB 15 A0 B0 SA13 13
SA18 K2 SDD15 RN82D 8 7 SD_D15 3 17 SA15
13 SA18 SA18 A1 B1 SA15 13
SA19 K1 A11 TXD1 SDD14 RN82C 6 5 SD_D14 4 16 SA14
13 SA19 SA19 TXD1 TXD1 15 A2 B2 SA14 13
SA20 J5 D11 DTR1 SDD12 RN82A 2 1 SD_D12 5 15 SA12
13 SA20 LA20 DTR1 DTR1 15 A3 B3 SA12 13
SA21 J4 B11 RTS1 SDD11 RN65D 8 7 SD_D11 6 14 SA11
13 SA21 LA21 RTS1 RTS1 15 A4 B4 SA11 13
SA22 J3 C11 CTS1 SDD10 RN65C 6 5 SD_D10 7 13 SA10
13 SA22 LA22 CTS1 CTS1 15 A5 B5 SA10 13
SA23 J2 C12 DSR1 SDD9 RN65B 4 3 SD_D9 8 12 SA9
13 SA23 LA23 DSR1 DSR1 15 A6 B6 SA9 13
A12 DCD1 SDD8 RN65A 2 1 SD_D8 9 11 SA8
DCD1 DCD1 15 A7 B7 SA8 13
SD0 Y1 E11 RI1 33 8P4R
13 SD0 SD0 RI1 RI1 15
SD1 Y2 B12 RXD1 -MASTER 1
13 SD1 SD1 RXD1 RXD1 15 13 -MASTER DIR
SD2 W2 -SOE 19
13 SD2 SD3 SD2 TXD2 OE
13 SD3 Y3 SD3 TXD2 D10 TXD2 15
SD4 W3 B9 DTR2 NC-F245
13 SD4 SD4 DTR2 DTR2 15
SD5 V3 E10 RTS2
13 SD5 SD5 RTS2 RTS2 15
SD6 Y4 A9 CTS2
13 SD6 SD6 CTS2 CTS2 15
SD7 W4 C10 DSR2
13 SD7 SD7 DSR2 DSR2 15
SD8 L5 A10 DCD2
13 SD8 SD8 DCD2 DCD2 15
SD9 M2 C9 RI2 Thomas Hsu
13 SD9 SD9 RI2 RI2 15
SD10 M4 B10 RXD2
13 SD10 SD10 RXD2 RXD2 15
SD11 N1 VCC3
13 SD11 SD12 SD11 USB_VCC
B N3 F9 L50 FB RN60 RN66 B
13 SD12 SD13 SD12 VCCUSB SD_D0 SA0 SD_D8 SA8 SD_D0 SA0 SD_D8 SA8
13 SD13 N5 SD13 2 1 2 1
SD14 P1 C371 TC52 SD_D1 4 3 SA1 SD_D9 4 3 SA9 SD_D1 SA1 SD_D9 SA9
13 SD14 SD15 SD14 SD_D2 SA2 SD_D10 SA10 SD_D2 SA2 SD_D10 SA10
13 SD15 P2 SD15 6 5 6 5
.1u 10u SD_D3 8 7 SA3 SD_D11 8 7 SA11 SD_D3 SA3 SD_D11 SA11
-DACK0 L2 F8 USB_GND L51 FB
13 -DACK0 -DACK1 DACK0 GNDUSB NC-0X4
E1 NC-0X4
13 -DACK1 -DACK3 DACK1 USBCLK
D2 C3 USBCLK 8 RN62 RN83
13 -DACK3 -DACK5 DACK3 *USBCLK USBDT0+ SD_D4 SD_D4 SA4 SD_D12 SA12
13 -DACK5 L4 DACK5 *USBP0+ A3 2 1 SA4 SD_D12 2 1 SA12
-DACK6 M3 B3 USBDT0- SD_D5 4 3 SA5 SD_D14 4 3 SA14 SD_D5 SA5 SD_D14 SA14
13 -DACK6 -DACK7 DACK6 *USBP0- USBDT1+ SD_D6 SD_D6 SA6 SD_D15 SA15
13 -DACK7 N2 DACK7 *USBP1+ C4 6 5 SA6 SD_D15 6 5 SA15
D4 USBDT1- SD_D7 8 7 SA7 SD_D13 8 7 SA13 SD_D7 SA7 SD_D13 SA13
*USBP1- -OC1
*DRQ2/OC1/SERIRQ/GPIOE H3 -OC1 12
DREQ0 L3 G5 -OC0 NC-0X4 NC-0X4
13 DREQ0 DRQ0 *DACK2/OC0/GPIOF -OC0 15
DREQ1 E2
13 DREQ1 DREQ3 DRQ1 USBDT2+
13 DREQ3 D3 DRQ3 *USBP2+ A4
DREQ5 M1 B4 USBDT2-
13 DREQ5 DREQ6 DRQ5 *USBP2- USBDT3+
M5 B5 RN57
13 DREQ6 DREQ7 DRQ6 *USBP3+ USBDT3- USBDT2-
13 DREQ7 N4 DRQ7 *USBP3- E6 1 2 USBD2- 12
USBDT2+ 3 4 USBD2+ 12
AEN B2 E5 KB_CLK USBDT3+ 5 6
13 AEN AEN *KBCK/KA20G KB_CLK 15 USBD3+ 12
BALE H2 A5 KB_DATA USBDT3- 7 8
13 BALE BALE KBDT/KBRC KB_DATA 15 USBD3- 12
-SBHE F2 D5 MS_CLK
13 -SBHE SBHE MSCK/IRQ1 MS_CLK 15
-REFRESH E3 C5 MS_DATA 27 8P4R
13 -REFRESH REFRESH MSDT/IRQ12 MS_DATA 15
-IOR D1
13 -IOR -IOW IOR -ROMCS VCC3
C2 C1 -ROMCS 13 C354 C356 C355 C359
13 -IOW -MEMR IOW *ROMCS/KBCS R272 4.7K
13 -MEMR U4 MEMR
-MEMW *Set INIT low active. 47p 47p 47p 47p
13 -MEMW V4 MEMW DRVDEN0 D9 2 1
-SMEMR A1 D6 1 2 4 3
C 13 -SMEMR SMEMR DRVDEN1 C
-SMEMW B1 S2 6 5
13 -SMEMW -IOCS16 SMEMW
F3 D7 8 7 RN58
13 -IOCS16 -MEMCS16 IOCS16 INDEX USBDT0-
13 -MEMCS16 F1 MEMCS16 MTR0 E9 10 9 8 7 USBD0- 15
IOCHRDY A2 A8 12 11 USBDT0+ 6 5
13 IOCHRDY IOCHRDY DS1 USBD0+ 15
-IOCHCK F4 B8 14 13 USBDT1- 4 3
13 -IOCHCK IOCHK/GPI0 DS0 USBD1- 15
TC H1 C8 16 15 USBDT1+ 2 1
13 TC TC MTR1 USBD1+ 15
RSTDRV J1 D8 18 17
RSTDRV DIR
E8 20 19 27 8P4R
SIO_OSC STEP
8 SIO_OSC E4 OSC WDATA A7 22 21
R264 33 H5 B7 24 23 C361 C363 C365 C369
13 SYS_CLK BCLK WGATE
TRAK00 E7 26 25
R265 IRRX 47p 47p 47p 47p
13 IRQ6 6 IRRX D12 IRRX/GPO15 WRTPRT A6 28 27
NC-0 IRTX E12 B6 30 29
6 IRTX IRTX/GPO14 RDATA
HDSEL C7 32 31
VCC3 IRQ3 G4 C6 34 33
13 IRQ3 IRQ4 IRQ3 DSKCHG
13 IRQ4 G3 IRQ4
IRQ5 G2 F6 FDD
R262 13 IRQ5 IRQ5 GND
G1 F11 U6C U6D R101
10K IRQ7 *IRQ6/SLPBTN GND VCC RES_DRV
F5 G6 5 6 9 8 33 RES_DRV 13
13 IRQ7 IRQ9 IRQ7 GND
13 IRQ9 H4 IRQ9 GND J9 F04 F04
R261 IRQ10 K3 J10 R139 330
13 IRQ10 IRQ10 GND U6A
IRQ11 K4 J11 RN17 1 2 R110 33 -RESETX
0 13 IRQ11 IRQ11 GND -RESETX 5
IRQ14 L1 J12 2 1
13,14 IRQ14 IRQ14 GND F04
IRQ15 K5 K9 4 3
13,14 IRQ15 IRQ15 GND U6B
K10 6 5 RSTDRV 3 4 R100 33 -IDERST
GND -IDERST 14
T5 XDIR/GPO12 GND K11 8 7 F04
-SOE U5 K12
*SCIOUT/SOE/GPO13 GND
L6 330 8P4R
D GND D
F7 VCC GND L9
-SLPBTN 15 F10 VCC GND L10
F12 VCC GND L11
F13 VCC GND L12
VCC3 F14 M9
H6
VCC
VCC
GND
GND M10 JET WAY INFORMATION
J6 VCC GND M11
K6 M12 Title
VCC GND
M6 VCC GND P6 SOUTH BRIDGE VT82C686A (PART 2)
N6 VCC GND R6
Size Document Number Rev
VT82C686A C J603TCF 3.0

Date: Thursday, June 27, 2002 Sheet 7 of 20


1 2 3 4
1 2 3 4

VCC3_CLK
R192 10K CK_VDD1
L35
R180 22 SPCLK
SPCLK 6
A FB0603-31 FS3 R181 22 NPCLK A
NPCLK 5
L40 VCC3 1 2 22 8P4R
CK_VDD1 RN41 PCICLK2
3 4 PCICLK2 12
NC-FB 5 6 PCICLK1
PCICLK1 11
TC34 7 8 PCICLK0
C277 C248 C247 C279 C278 C275 C271 PCICLK0 11
10u .1u .1u .1u .1u .1u .1u .1u LANCLK
U11 R193 22 LANCLK 20
1 VDD1 MODE/PCI_F 7
6 VDD2 PCI0/FS3 8
14 VDD2 PCI1 10
19 11 R182 0 DCLKO APICCLK
VDD3 PCI2 DCLKO 5 APICCLK 2
30 12 R163 33
VDD3 PCI3 R25 120
36 13

D
VDD3 PCI4 SDCLK3
27 R167 22 SDCLK3 10
VCC2_5 VDD4 SDCLK2
L34 48 15 R168 22 SDCLK2 10
CK_VDD2 VDDL1 BUFFER IN Q4
42 VDDL2 IOAPIC 47
FB G R14 1K
C246 C257 C245 TUAL5 3,18
3 38 2N7002
.1u .1u .1u GND SDRAM0
9 GND SDRAM1 37

S
16 35 RN39 1 2 22 8P4R SDCLK0
GND SDRAM2 SDCLK0 10
22 34 3 4 SDCLK1
GND SDRAM3 SDCLK1 10
33 32 5 6 SDCLK4 TUALATIN SET APICCLK 2V
GND SDRAM4 SDCLK4 10
39 31 7 8 SDCLK5 COPPERMINE SET APICCLK 2.5V
GND SDRAM5 SDCLK5 10
45 GND SDRAM6 29
I2CDATA 28
6,10 I2CDATA I2CCLK SDRAM7 SDCLK6
23 21 R184 22 SDCLK6 10
6,10 I2CCLK SDATA SDRAM8 SDCLK7
24 20 R183 22 SDCLK7 10
SCLK SDRAM9
C269 12p 18
SDRAM10
2

B 4 X1 SDRAM11 17 B
X1 14.31818MHZ 5 40 R166 22 DCLKI
X2 SDRAM_F DCLKI 5
FS1 HCLK
1

C264 12p 25 44 R164 33 HCLK 5


USBCLK FS0 24M/FS1 CPU0 CPUCLK
R171 22 26 43 R165 33 CPUCLK 2
7 USBCLK ISA_OSC 48M/FS0 CPU1
R179 22 2
13 ISA_OSC REF0/PCI_SP
46 REF1/FS2 CPU_SP 41
GUICLK R178 22
4 GUICLK SIO_OSC FS2
R161 22
7 SIO_OSC AC97_OSC R196 NC-22 W83194BR-39B
17 AC97_OSC

LANCLK C298 NC-22P

VCC3 NPCLK C290 22p SDCLK3 C235 10p

VCC3 SPCLK C289 22p SDCLK2 C236 10p

PCICLK0 C291 47p CP1


RN43 R199 R200 SDCLK0 1 2
C C
FS0 2 1 220 PCICLK1 C292 47p SDCLK1 3 4
220
FS1 4 3 SDCLK4 5 6
FS2 6 5 100_-66 PCICLK2 C293 47p SDCLK5 7 8
FS3 8 7 133_-100
10P_8P4C
10K 8P4R
SDCLK6 C296 10p

SDCLK7 C295 10p


HCLK C232 10p
JMP1 DCLKI C234 22p
-BSEL0 1 2 100_-66 CPUCLK C233 10p
2 -BSEL0
-BSEL1 3 4 133_-100 DCLKO C294 NC-10p
2 -BSEL1
5 6 USBCLK C270 22p
7 8
ISA_OSC C288 22p

HEADER_2X4 SIO_OSC C231 22p

GUICLK C276 22p


JMP1

7-8 5-6 3-4 1-2 CPU

OFF OFF ON ON AUTO


D D

ON ON OFF OFF 66

ON OFF OFF OFF 100


JET WAY INFORMATION
OFF OFF OFF OFF 133 Title
CLOCK SYNTHESIZER
Size Document Number Rev
C J603TCF 3.0

Date: Thursday, June 27, 2002 Sheet 8 of 20


1 2 3 4
1 2 3 4

VCC2_5

A A

R18
150

FOR FUTURE CPU


voltage translation
-FERR
-FERR 6
Q5
MMBT3904
C
VCMOS B
R29 E
8.2K

-FERR_ 2

VCMOS
R30
150

B B

VTT VTT VTT

HD61 2 1 HD31 2 1 -CPURST 2 1 VTT


HD56 HD32 2,4 -CPURST HA26 Thomas Hsu Removed CT24 100uF CAP
4 3 4 3 4 3
HD60 6 5 RN4 HD29 6 5 RN18 HA29 6 5 RN26 -HREQ0 2 1
HD50 56 8P4R HD35 56 8P4R HA18 56 8P4R 2,4 -HREQ0 -HREQ2
8 7 8 7 8 7 2,4 -HREQ2 4 3
HD62 2 1 HD19 2 1 HA27 2 1 -HREQ4 6 5 RN10 VTT
HD53 HD33 HA30 2,4 -HREQ4 -BPRI 56 8P4R VTT
4 3 4 3 4 3 2,4 -BPRI 8 7
HD58 6 5 RN7 HD25 6 5 RN19 HA24 6 5 RN27 -RS1 2 1
HD46 56 8P4R HD26 56 8P4R HA20 56 8P4R 2,4 -RS1 -HLOCK
8 7 8 7 8 7 4 3 C142 .1u C93 .1u
HD54 HD16 HA23 2,4 -HLOCK -DEFER RN8
2 1 2 1 2 1 2,4 -DEFER 6 5
HD55 4 3 HD23 4 3 HA17 4 3 -HREQ3 8 7 56 8P4R C139 .1u C71 .1u
HD57 RN9 HD21 RN20 HA22 RN28 2,4 -HREQ3 -RS0
6 5 6 5 6 5 2,4 -RS0 2 1
HD63 8 7 56 8P4R HD24 8 7 56 8P4R HA31 8 7 56 8P4R -HIT 4 3 C137 .1u .1u C138
HD59 HD30 HA19 2,4 -HIT -HTRDY RN6
2 1 2 1 2 1 2,4 -HTRDY 6 5
HD48 4 3 HD7 4 3 HA25 4 3 -HITM 8 7 56 8P4R NC-.1u (BOT) CB4 C144 .1u
HD52 RN12 HD3 RN21 HA21 RN29 2,4 -HITM -BREQ0
C 6 5 6 5 6 5 2,4 -BREQ0 2 1 C
HD40 8 7 56 8P4R HD20 8 7 56 8P4R HA28 8 7 56 8P4R -RS2 4 3 C145 .1u .1u C20
HD47 HD13 HA15 2,4 -RS2 -DRDY RN5
2 1 2 1 2 1 2,4 -DRDY 6 5
HD41 4 3 HD11 4 3 HA10 4 3 -DBSY 8 7 56 8P4R C140 .1u C73 .1u
HD49 RN13 HD14 RN22 HA12 RN30 2,4 -DBSY
6 5 6 5 6 5
HD51 8 7 56 8P4R HD2 8 7 56 8P4R HA13 8 7 56 8P4R -ADS R64 C146 .1u .1u C128
HD42 HD9 HA16 2,4 -ADS
2 1 2 1 2 1 56
HD27 4 3 HD18 4 3 HA5 4 3 .1u C81 C63 .1u
HD44 6 5 RN14 HD12 6 5 RN23 HA3 6 5 RN31
HD45 8 7 56 8P4R HD10 8 7 56 8P4R HA6 8 7 56 8P4R .1u CB3 C147 .1u
HD39 2 1 HD17 2 1 HA9 2 1
HD37 4 3 HD8 4 3 HA11 4 3 VCMOS NOTE: VTT TERMINATION VLOTAGE DECOUPLING
HD36 6 5 RN15 HD5 6 5 RN24 HA8 6 5 RN32 NEAR SOCKET 370
HD38 8 7 56 8P4R HD1 8 7 56 8P4R HA4 8 7 56 8P4R -FLUSH 1 2
HD43 HD4 -HREQ1 2 -FLUSH -A20M
2 1 2 1 2 1 2,6 -A20M 3 4 RN1
HD34 4 3 HD15 4 3 HA7 4 3 -IGNNE 5 6 150 8P4R
HD22 RN16 HD6 RN25 -BNR RN11 2,6 -IGNNE -STPCLK
6 5 6 5 6 5 2,6 -STPCLK 7 8
HD28 8 7 56 8P4R HD0 8 7 56 8P4R HA14 8 7 56 8P4R VCMOS Thomas Removed for detecting ratio by CPU

-SMI_ 1 2
2,4 HD[0:63] 2,4 HA[3:31] 2 -SMI_ NMI
2,4 -HREQ1 2,6 NMI 3 4 RN2
INTR 5 6 150 8P4R R67
2,4 -BNR 2,6 INTR
7 8 110 1% (1/16W)
2 SLEWCTRL
-SLP R33
Thomas modified for detecting Ratio by CPU 2,6 -SLP
150 2 RTTCTRL
2

VCC3 VCMOS -CPUINIT R32 R26 110 1% (1/16W)


D3 2,6 -CPUINIT
D BAT54C 150 D
3
PICD0 R34
R247 R38 2 PICD0
4.7K NC-150 150
00/12/19
PICD1
1

R90 R31
6 -SMI
-SMI -SMI_ 2 PICD1
150 JET WAY INFORMATION
Near SB NC-0 Near CPU Title
AGTL+ PULL UP RESISTORS
Size Document Number Rev
C J603TCF 3.0

Date: Thursday, June 27, 2002 Sheet 9 of 20


1 2 3 4
1 2 3 4

MD[0:63] MA POWER-UP STRAPPING OPTIONS


MD[0:63] 5

MD0
MD1
MD2
MD3
MD4
MD5
MD6
MD7
MD8
MD9
MD10
MD11
MD12
MD13
MD14
MD15
MD16
MD17
MD18
MD19
MD20
MD22
MD23
MD21
MD24
MD25
MD26
MD27
MD28
MD29
MD30
MD31
MD32
MD33
MD34
MD35
MD36
MD37
MD38
MD39
MD40
MD41
MD42
MD43
MD44
MD45
MD46
MD47
MD48
MD49
MD50
MD51
MD52
MD53
MD54
MD55
MD56
MD57
MD58
MD59
MD60
MD61
MD62
MD63
8601 MA0 R102
MA1 MA0 GCLOCK DELAY
10K

100
101
103
104
139
140
141
142
144
149
150
151
153
154
155
156
158
159
160
161
DIMM1 LO LO 0 (CLK)

10
11
13
14
15
16
17
19
20
55
56
57
58
60
65
66
67
69
70
71
72
74
75
76
77
86
87
88
89
91
92
93
94
95
97
98
99
2
3
4
5
7
8
9
LO HI 1
MA0 -RAS0 HI LO 2 MA1 R104
33 30

D0
D1
D2
D3
D4
D5
D6
D7
D8
D9
D10
D11
D12
D13
D14
D15
D16
D17
D18
D19
D20
D21
D22
D23
D24
D25
D26
D27
D28
D29
D30
D31
D32
D33
D34
D35
D36
D37
D38
D39
D40
D41
D42
D43
D44
D45
D46
D47
D48
D49
D50
D51
D52
D53
D54
D55
D56
D57
D58
D59
D60
D61
D62
D63
5 MA0 A0 RAS0/S0 -RAS0 5
MA1 117 114 -RAS1 HI HI 3
10K
5 MA1 A1 RAS1/S1 -RAS1 5
A MA2 34 45 -RAS0 A
5 MA2 MA3 A2 RAS2/S2 -RAS1
5 MA3 118 A3 RAS3/S3 129
MA4 35 28 -CAS0
5 MA4 A4 CAS0/DQMB0 -CAS0 5
MA5 119 29 -CAS1
5 MA5 A5 CAS1/DQMB1 -CAS1 5
MA6 36 46 -CAS2
5 MA6 A6 CAS2/DQMB2 -CAS2 5
MA7 120 47 -CAS3
5 MA7 A7 CAS3/DQMB3 -CAS3 5
MA8 37 112 -CAS4
5 MA8 A8 CAS4/DQMB4 -CAS4 5 R107
MA9 121 113 -CAS5 MA6:LO DISABLE LCD FUNCTION MA6
5 MA9 A9 CAS5/DQMB5 -CAS5 5
MA10 38 130 -CAS6 10K
5 MA10 A10(AP) CAS6/DQMB6 -CAS6 5
MA13 123 131 -CAS7
5 MA13 A11 CAS7/DQMB7 -CAS7 5

RFU/DQS1

RFU/DQS3

RFU/DQS5

RFU/DQS7
RFU/DQS8
QS0/DQS0

QS2/DQS2

QS1/DQS4

QS3/DQS6
MA14 126 27 -SWE

DU/VREF
DU/VREF
5 MA14 A12 WE0 -SWE 5 R108

DU/OE0
DU/OE2
132 48 MA5
A13 WE2/DU

CKE0
CKE1
MA11 122 111 -SCAS NC-10K

VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC

SDA
-SCAS 5
CK0
CK1
CK2
CK3

CB0
CB1
CB2
CB3
CB4
CB5
CB6
CB7

SCL
5 MA11 BA0 DU/CAS

167 SA2
166 SA1
165 SA0
MA12 -SRAS

NC
NC
NC
NC
NC
5 MA12 39 BA1 DU/RAS 115 -SRAS 5
DIMM_168P
125

163

146
128

145
147
164

102
110
124
133
143
157
168

105
106
136
137

108
109
135

134
42

79

62

63
61
80

18
26
40
41
49
59
73
84
90

21
22
52
53

24
25
50
51

81

31
44

83
82
6
I2CDATA MA7 Graphic Test Mode MA7 R109
I2CDATA 6,8
I2CCLK HI TEST MODE
10K
I2CCLK 6,8
SDCLK0 V_DIM LO NORMAL
8 SDCLK0 SDCLK1 0 R149
8 SDCLK1 SDCLK2
8 SDCLK2 SDCLK3
8 SDCLK3 CKE0
5 CKE0 CKE1
5 CKE1
MD0
MD1
MD2
MD3
MD4
MD5
MD6
MD7
MD8
MD9
MD10
MD11
MD12
MD13
MD14
MD15
MD16
MD17
MD18
MD19
MD20
MD22
MD23
MD21
MD24
MD25
MD26
MD27
MD28
MD29
MD30
MD31
MD32
MD33
MD34
MD35
MD36
MD37
MD38
MD39
MD40
MD41
MD42
MD43
MD44
MD45
MD46
MD47
MD48
MD49
MD50
MD51
MD52
MD53
MD54
MD55
MD56
MD57
MD58
MD59
MD60
MD61
MD62
MD63
B MA8 MA2 GRAPHIC CLOCK SELECT B
LO LO NORMAL
LO HI TEST
HI LO TEST
HI HI TEST

100
101
103
104
139
140
141
142
144
149
150
151
153
154
155
156
158
159
160
161
DIMM2
10
11
13
14
15
16
17
19
20
55
56
57
58
60
65
66
67
69
70
71
72
74
75
76
77
86
87
88
89
91
92
93
94
95
97
98
99
2
3
4
5
7
8
9

MA0 33 30 -RAS2 R105


D0
D1
D2
D3
D4
D5
D6
D7
D8
D9
D10
D11
D12
D13
D14
D15
D16
D17
D18
D19
D20
D21
D22
D23
D24
D25
D26
D27
D28
D29
D30
D31
D32
D33
D34
D35
D36
D37
D38
D39
D40
D41
D42
D43
D44
D45
D46
D47
D48
D49
D50
D51
D52
D53
D54
D55
D56
D57
D58
D59
D60
D61
D62
D63
A0 RAS0/S0 -RAS2 5 MA2
MA1 117 114 -RAS3
A1 RAS1/S1 -RAS3 5
MA2 34 45 -RAS2
MA3 A2 RAS2/S2 -RAS3 10K
118 A3 RAS3/S3 129
MA4 35 28 -CAS0
MA5 A4 CAS0/DQMB0 -CAS1
119 A5 CAS1/DQMB1 29
MA6 -CAS2 MA8 R114
36 A6 CAS2/DQMB2 46
MA7 120 47 -CAS3 10K
MA8 A7 CAS3/DQMB3 -CAS4
37 A8 CAS4/DQMB4 112
MA9 121 113 -CAS5
MA10 A9 CAS5/DQMB5 -CAS6
38 A10(AP) CAS6/DQMB6 130
MA13 123 131 -CAS7
A11 CAS7/DQMB7

RFU/DQS1

RFU/DQS3

RFU/DQS5

RFU/DQS7
RFU/DQS8
QS0/DQS0

QS2/DQS2

QS1/DQS4

QS3/DQS6
MA14 126 27 -SWE
DU/VREF
DU/VREF

A12 WE0

DU/OE0
DU/OE2
132 A13 WE2/DU 48
MA11 R111
CKE0
CKE1

122 111 -SCAS MA10 MA9 NBClock Delay MA9


VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC

SDA
BA0
CK0
CK1
CK2
CK3

CB0
CB1
CB2
CB3
CB4
CB5
CB6
CB7

SCL
DU/CAS

167 SA2
166 SA1
165 SA0
MA12 -SRAS LO LO 0 (CLK)
NC
NC
NC
NC
NC

39 BA1 DU/RAS 115 10K


LO HI 1
DIMM_168P HI LO 2
125

163

146
128

145
147
164

102
110
124
133
143
157
168

105
106
136
137

108
109
135

134
42

79

62

63
61
80

18
26
40
41
49
59
73
84
90

21
22
52
53

24
25
50
51

81

31
44

83
82
HI HI 3
6

MA10 R113
I2CDATA 10K
SDCLK4 I2CCLK
C 8 SDCLK4 C
SDCLK5 V_DIM VCC3
8 SDCLK5 SDCLK6 R150 0
8 SDCLK6 SDCLK7
8 SDCLK7 CKE2 MA11 IOQ LENGTH
5 CKE2 CKE3 HI 1
5 CKE3 LO 4 MA11 R112
10K

MA12 R115
CPU FREQ FIX AUTO MODE 10K
Thomas
V_DIM
MA14 R117
10K

D D

JET WAY INFORMATION


Title
SDRAM DIMM SLOTS
Size Document Number Rev
C J603TCF 3.0

Date: Thursday, June 27, 2002 Sheet 10 of 20


1 2 3 4
1 2 3 4

PCI1 PCI2
-12V 2 -12V TRST# 1 -12V 2 -12V TRST# 1
A 4 3 4 3 A
TCK +12V +12V TCK +12V +12V
6 GND TMS 5 6 GND TMS 5
8 TDO TDI 7 8 TDO TDI 7
10 +5V +5V 9 10 +5V +5V 9
12 11 -INTR_A 12 11 -INTR_B
+5V INTA# -INTR_A 4,6,12 +5V INTA#
-INTR_B 14 13 -INTR_C -INTR_C 14 13 -INTR_D
6,12 -INTR_B INTB# INTC# -INTR_C 6,12 INTB# INTC#
-INTR_D 16 15 -INTR_A 16 15
6,12,20 -INTR_D INTD# +5V INTD# +5V
18 PRSNT#1 RESERVED 17 VCC3 VCC3 18 PRSNT#1 RESERVED 17 VCC3
VCC3 20 RESERVED +5V(I/O) 19 20 RESERVED +5V(I/O) 19
22 PRSNT#2 RESERVED 21 22 PRSNT#2 RESERVED 21
24 GND GND 23 24 GND GND 23
26 25 S5 P3V3_SB 26 25 S4 P3V3_SB
GND GND GND GND
28 RESERVED RESERVED 27 1 2 28 RESERVED RESERVED 27 1 2
30 29 -PCIRST 30 29 -PCIRST
GND RST# -PCIRST 6,12,20 GND RST#
PCICLK0 32 31 PCICLK1 32 31
8 PCICLK0 CLK +5V(I/O) -GNT0 8 PCICLK1 CLK +5V(I/O) -GNT1
34 GND GNT 33 -GNT0 5 34 GND GNT 33 -GNT1 5
-REQ0 36 35 -REQ1 36 35
5 -REQ0 REQ# GND -PME 5 -REQ1 REQ# GND -PME
38 +5V(I/O) PME 37 -PME 6,12,20 38 +5V(I/O) PME 37
A_D31 40 39 A_D30 A_D31 40 39 A_D30
5,6,12,20 A_D31 AD31 AD30 A_D30 5,6,12,20 AD31 AD30
A_D29 42 41 A_D29 42 41
5,6,12,20 A_D29 AD29 +3.3V A_D28 AD29 +3.3V A_D28
44 GND AD28 43 A_D28 5,6,12,20 44 GND AD28 43
A_D27 46 45 A_D26 A_D27 46 45 A_D26
5,6,12,20 A_D27 AD27 AD26 A_D26 5,6,12,20 AD27 AD26
A_D25 48 47 A_D25 48 47
5,6,12,20 A_D25 AD25 GND A_D24 AD25 GND A_D24
50 +3.3V AD24 49 A_D24 5,6,12,20 50 +3.3V AD24 49
C_-BE3 52 51 A_D19 C_-BE3 52 51 A_D20
5,6,12,20 C_-BE3 C/BE#3 IDSEL A_D19 5,6,12,20 C/BE#3 IDSEL
A_D23 54 53 A_D23 54 53
5,6,12,20 A_D23 AD23 +3.3 A_D22 AD23 +3.3 A_D22
56 GND AD22 55 A_D22 5,6,12,20 56 GND AD22 55
A_D21 58 57 A_D20 A_D21 58 57 A_D20
5,6,12,20 A_D21 AD21 AD20 A_D20 5,6,12,20 AD21 AD20
B A_D19 60 59 A_D19 60 59 B
5,6,12,20 A_D19 AD19 GND A_D18 AD19 GND A_D18
62 +3.3V AD18 61 A_D18 5,6,12,20 62 +3.3V AD18 61
A_D17 64 63 A_D16 A_D17 64 63 A_D16
5,6,12,20 A_D17 AD17 AD16 A_D16 5,6,12,20 AD17 AD16
C_-BE2 66 65 C_-BE2 66 65
5,6,12,20 C_-BE2 C/BE#2 +3.3V -FRAME C/BE#2 +3.3V -FRAME
68 GND FRAME# 67 -FRAME 5,6,12,20 68 GND FRAME# 67
-IRDY 70 69 -IRDY 70 69
5,6,12,20 -IRDY IRDY# GND -TRDY IRDY# GND -TRDY
72 +3.3V TRDY# 71 -TRDY 5,6,12,20 72 +3.3V TRDY# 71
-DEVSEL 74 73 -DEVSEL 74 73
5,6,12,20 -DEVSEL DEVSEL# GND -STOP DEVSEL# GND -STOP
76 GND STOP# 75 -STOP 5,6,12,20 76 GND STOP# 75
-PLOCK 78 77 -PLOCK 78 77
5,12 -PLOCK -PERR LOCK# +3.3V -PERR LOCK# +3.3V
12,20 -PERR 80 PERR# SDONE 79 80 PERR# SDONE 79
82 +3.3V SBO# 81 82 +3.3V SBO# 81
-SERR 84 83 -SERR 84 83
5,6,12,20 -SERR SERR# GND PAR SERR# GND PAR
86 +3.3V PAR 85 PAR 5,6,12,20 86 +3.3V PAR 85
C_-BE1 88 87 A_D15 C_-BE1 88 87 A_D15
5,6,12,20 C_-BE1 C/BE#1 AD15 A_D15 5,6,12,20 C/BE#1 AD15
A_D14 90 89 A_D14 90 89
5,6,12,20 A_D14 AD14 +3.3V A_D13 AD14 +3.3V A_D13
92 GND AD13 91 A_D13 5,6,12,20 92 GND AD13 91
A_D12 94 93 A_D11 A_D12 94 93 A_D11
5,6,12,20 A_D12 AD12 AD11 A_D11 5,6,12,20 AD12 AD11
A_D10 96 95 A_D10 96 95
5,6,12,20 A_D10 AD10 GND A_D9 AD10 GND A_D9
98 GND AD9 97 A_D9 5,6,12,20 98 GND AD9 97

A_D8 100 99 C_-BE0 A_D8 100 99 C_-BE0


5,6,12,20 A_D8 AD8 C/BE#0 C_-BE0 5,6,12,20 AD8 C/BE#0
A_D7 102 101 A_D7 102 101
5,6,12,20 A_D7 AD7 +3.3V A_D6 AD7 +3.3V A_D6
104 +3.3V AD6 103 A_D6 5,6,12,20 104 +3.3V AD6 103
A_D5 106 105 A_D4 A_D5 106 105 A_D4
5,6,12,20 A_D5 AD5 AD4 A_D4 5,6,12,20 AD5 AD4
A_D3 108 107 A_D3 108 107
5,6,12,20 A_D3 AD3 GND A_D2 AD3 GND A_D2
110 GND AD2 109 A_D2 5,6,12,20 110 GND AD2 109
A_D1 112 111 A_D0 A_D1 112 111 A_D0
C 5,6,12,20 A_D1 AD1 AD0 A_D0 5,6,12,20 AD1 AD0 C
114 +5V(I/O) +5V(I/O) 113 114 +5V(I/O) +5V(I/O) 113
-P1ACK64 116 115 -P1REQ64 -P2ACK64 116 115 -P2REQ64
ACK64# REQ64# ACK64# REQ64#
118 +5V +5V 117 118 +5V +5V 117
120 +5V +5V 119 120 +5V +5V 119

PCI_SLOT_124 PCI_SLOT_124

RN54 -REQ0 R235 2.2K


RN52 -P2ACK64 2 1
-FRAME 2 1 RN59 -P1REQ64 4 3 -REQ1 R255 2.2K
-IRDY 4 3 -INTR_A 1 2 -P1ACK64 6 5
-TRDY 6 5 -INTR_C 3 4 -P2REQ64 8 7
-DEVSEL 8 7 -INTR_B 5 6 VCC3
-INTR_D 7 8 2.2K 8P4R
4.7K 8P4R -GNT0 R233 2.2K
4.7K 8P4R
-GNT1 R234 2.2K
Thomas Hsu

RN53 Thomas Hsu


-STOP 2 1
D -PLOCK D
4 3
-PERR 6 5
-SERR 8 7

4.7K 8P4R

Thomas Hsu
JET WAY INFORMATION
Title
PCI1 & PCI2 SLOTS
Size Document Number Rev
C J603TCF 3.0

Date: Thursday, June 27, 2002 Sheet 11 of 20


1 2 3 4
1 2 3 4

PCI3
-12V 2 -12V TRST# 1
A 4 3 A
TCK +12V +12V
6 GND TMS 5
8 TDO TDI 7
10 +5V +5V 9
12 11 -INTR_C
+5V INTA# -INTR_C 6,11
-INTR_D 14 13 -INTR_A
6,11,20 -INTR_D INTB# INTC# -INTR_A 4,6,11
-INTR_B 16 15
6,11 -INTR_B INTD# +5V FS3
18 PRSNT#1 RESERVED 17 VCC3
20 19 POLY FUSE
VCC3 RESERVED +5V(I/O)
22 21 miniDC110
PRSNT#2 RESERVED R269
24 GND GND 23 7 -OC1
26 25 S6 P3V3_SB 470K
GND GND R281
28 RESERVED RESERVED 27 1 2 C390 C387
30 29 -PCIRST 560K L52
GND RST# -PCIRST 6,11,20 1000p FB 1u
PCICLK2 32 31
8 PCICLK2 CLK +5V(I/O) -GNT2
34 GND GNT 33 -GNT2 5
-REQ2 36 35
5 -REQ2 REQ# GND -PME USB2
38 +5V(I/O) PME 37 -PME 6,11,20
A_D31 40 39 A_D30 1 2
5,6,11,20 A_D31 AD31 AD30 A_D30 5,6,11,20 USBD3-
A_D29 42 41 USBD2- 3 4 R251 0
5,6,11,20 A_D29 AD29 +3.3V 7 USBD2- USBD3- 7
44 43 A_D28 USBD2+ 5 6 USBD3+
GND AD28 A_D28 5,6,11,20 7 USBD2+ USBD3+ 7
A_D27 46 45 A_D26 7 8 R252 0
5,6,11,20 A_D27 AD27 AD26 A_D26 5,6,11,20
A_D25 48 47 9
5,6,11,20 A_D25 AD25 GND A_D24
50 49 A_D24 5,6,11,20 TC56
C_-BE3 +3.3V AD24 A_D21
5,6,11,20 C_-BE3 52 C/BE#3 IDSEL 51 A_D21 5,6,11,20 .1u
A_D23 54 53 10uF
5,6,11,20 A_D23 AD23 +3.3 A_D22 C32
56 GND AD22 55 A_D22 5,6,11,20
A_D21 58 57 A_D20 R267 R266 L53 FB R250 R253
5,6,11,20 A_D21 AD21 AD20 A_D20 5,6,11,20 15K 15K 15K 15K
B A_D19 60 59 B
5,6,11,20 A_D19 AD19 GND A_D18 USB_GND2
62 +3.3V AD18 61 A_D18 5,6,11,20
A_D17 64 63 A_D16
5,6,11,20 A_D17 AD17 AD16 A_D16 5,6,11,20
C_-BE2 66 65
5,6,11,20 C_-BE2 C/BE#2 +3.3V -FRAME
68 GND FRAME# 67 -FRAME 5,6,11,20
-IRDY 70 69
5,6,11,20 -IRDY IRDY# GND -TRDY
72 +3.3V TRDY# 71 -TRDY 5,6,11,20
-DEVSEL 74 73
5,6,11,20 -DEVSEL DEVSEL# GND -STOP
76 GND STOP# 75 -STOP 5,6,11,20
-PLOCK 78 77
5,11 -PLOCK -PERR LOCK# +3.3V
11,20 -PERR 80 PERR# SDONE 79
82 +3.3V SBO# 81
-SERR 84 83
5,6,11,20 -SERR SERR# GND PAR
86 +3.3V PAR 85 PAR 5,6,11,20
C_-BE1 88 87 A_D15
5,6,11,20 C_-BE1 C/BE#1 AD15 A_D15 5,6,11,20
A_D14 90 89
5,6,11,20 A_D14 AD14 +3.3V A_D13
92 GND AD13 91 A_D13 5,6,11,20
A_D12 94 93 A_D11
5,6,11,20 A_D12 AD12 AD11 A_D11 5,6,11,20
A_D10 96 95
5,6,11,20 A_D10 AD10 GND A_D9
98 GND AD9 97 A_D9 5,6,11,20

A_D8 100 99 C_-BE0


5,6,11,20 A_D8 AD8 C/BE#0 C_-BE0 5,6,11,20
A_D7 102 101
5,6,11,20 A_D7 AD7 +3.3V A_D6
104 +3.3V AD6 103 A_D6 5,6,11,20
A_D5 106 105 A_D4
5,6,11,20 A_D5 AD5 AD4 A_D4 5,6,11,20
A_D3 108 107
5,6,11,20 A_D3 AD3 GND A_D2
110 GND AD2 109 A_D2 5,6,11,20
A_D1 112 111 A_D0
C 5,6,11,20 A_D1 AD1 AD0 A_D0 5,6,11,20 C
114 +5V(I/O) +5V(I/O) 113
-P3ACK64 116 115 -P3REQ64
ACK64# REQ64#
118 +5V +5V 117
120 +5V +5V 119

PCI_SLOT_124

-REQ2 R254 2.2K -P3REQ64 R260 2.2K

-GNT2 R256 2.2K VCC3 -P3ACK64 R259 2.2K

D D

JET WAY INFORMATION


Title
PCI3 & USB3/USB4
Size Document Number Rev
C J603TCF 3.0

Date: Thursday, June 27, 2002 Sheet 12 of 20


1 2 3 4
1 2 3 4

SL1
32 1 -IOCHCK
B1 A1 -IOCHCK 7
RES_DRV 33 2 SD7
7 RES_DRV B2 A2 SD7 7
34 3 SD6
B3 A3 SD6 7
IRQ9 35 4 SD5
7 IRQ9 B4 A4 SD5 7
-5V 36 5 SD4
B5 A5 SD4 7
A DREQ2 37 6 SD3 A
B6 A6 SD3 7
-12V 38 7 SD2
B7 A7 SD2 7
-0WS 39 8 SD1
B8 A8 SD1 7
+12V 40 9 SD0
B9 A9 SD0 7
41 10 IOCHRDY
B10 A10 IOCHRDY 7
-SMEMW 42 11 AEN
7 -SMEMW B11 A11 AEN 7
-SMEMR 43 12 SA19
7 -SMEMR B12 A12 SA19 7
-IOW 44 13 SA18
7 -IOW B13 A13 SA18 7
-IOR 45 14 SA17
7 -IOR B14 A14 SA17 7
-DACK3 46 15 SA16
7 -DACK3 B15 A15 SA16 7
DREQ3 47 16 SA15
7 DREQ3 B16 A16 SA15 7
-DACK1 48 17 SA14
7 -DACK1 B17 A17 SA14 7
DREQ1 49 18 SA13
7 DREQ1 B18 A18 SA13 7
-REFRESH 50 19 SA12
7 -REFRESH B19 A19 SA12 7
SYS_CLK 51 20 SA11
7 SYS_CLK B20 A20 SA11 7
IRQ7 52 21 SA10
7 IRQ7 B21 A21 SA10 7
IRQ6 53 22 SA9
7 IRQ6 B22 A22 SA9 7
IRQ5 54 23 SA8
7 IRQ5 B23 A23 SA8 7
IRQ4 55 24 SA7
7 IRQ4 B24 A24 SA7 7
IRQ3 56 25 SA6
7 IRQ3 B25 A25 SA6 7
57 26 SA5
B26 A26 SA5 7
TC 58 27 SA4
7 TC B27 A27 SA4 7
BALE 59 28 SA3
7 BALE B28 A28 SA3 7
60 29 SA2
B29 A29 SA2 7
ISA_OSC 61 30 SA1
8 ISA_OSC B30 A30 SA1 7
62 31 SA0
B31 A31 SA0 7

B -MEMCS16 19 1 -SBHE B
7 -MEMCS16 D1 C1 -SBHE 7
-IOCS16 20 2 SA23
7 -IOCS16 D2 C2 SA23 7
IRQ10 21 3 SA22
7 IRQ10 D3 C3 SA22 7
IRQ11 22 4 SA21
7 IRQ11 D4 C4 SA21 7
IRQ12 23 5 SA20
D5 C5 SA20 7
IRQ15 24 6 SA19
7,14 IRQ15 IRQ14 D6 C6 SA18
7,14 IRQ14 25 D7 C7 7
-DACK0 26 8 SA17
7 -DACK0 DREQ0 D8 C8 -MEMR
7 DREQ0 27 D9 C9 9 -MEMR 7
-DACK5 28 10 -MEMW
7 -DACK5 D10 C10 -MEMW 7
DREQ5 29 11 SD8
7 DREQ5 D11 C11 SD8 7
-DACK6 30 12 SD9
7 -DACK6 D12 C12 SD9 7
DREQ6 31 13 SD10
7 DREQ6 D13 C13 SD10 7
-DACK7 32 14 SD11
7 -DACK7 D14 C14 SD11 7
DREQ7 33 15 SD12
7 DREQ7 D15 C15 SD12 7
34 16 SD13
D16 C16 SD13 7
-MASTER 35 17 SD14
7 -MASTER D17 C17 SD14 7
36 18 SD15
D18 C18 SD15 7
SL2

Thomas Hsu
4.7K 8P4R 4.7K 8P4R
RN64 RN73
IRQ3 7 8 DREQ0 7 8 RN69 RN68
IRQ4 5 6 DREQ1 5 6 SD4 1 2 SD5 7 8
IRQ5 3 4 DREQ2 3 4 SD3 3 4 SD6 5 6
IRQ6 1 2 DREQ3 1 2 SD1 5 6 SD7 3 4
C C
SD2 7 8 -IOCHCK 1 2

RN81 4.7K 8P4R 4.7K 8P4R 4.7K 8P4R


IRQ10 1 2 RN79
IRQ11 3 4 DREQ5 R278 4.7K SD9 1 2 U16
IRQ12 5 6 SD10 3 4 SA0 12 13 SD0
IRQ15 DREQ6 SD11 SA1 A0 D0 SD1
7 8 R279 4.7K 5 6 11 14
SD12 SA2 A1 D1 SD2
7 8 10 A2 D2 15
IRQ14 R277 4.7K DREQ7 R280 4.7K SA3 9 17 SD3
SA4 A3 D3 SD4
4.7K 8P4R 8 18
IRQ7 SA5 A4 D4 SD5
R263 4.7K RN74 RN80 7 19
SD13 SA6 A5 D5 SD6
1 2 1 2 6 A6 D6 20
IRQ9 R273 4.7K IRQ3 C378 68p -REFRESH 3 4 SD14 3 4 SA7 5 21 SD7
SA9 -MASTER SA8 A7 D7
5 6 5 6 27 A8
IRQ4 C379 68p SA8 7 8 SD15 7 8 SA9 26
SA10 A9 R270 0
4.7K 8P4R 23 A10
RN71 IRQ5 C376 68p 4.7K 8P4R 4.7K 8P4R SA11 25 1
-IOR SA12 A11 A18 R271 NC-0 SA18
7 8 RN75 RN72 4
-IOW IRQ6 SA7 SA17 SA13 A12
5 6 C377 68p 1 2 1 2 28
-SMEMR SA6 SA16 SA14 A13
3 4 3 4 3 4 29 A14
-SMEMW 1 2 IRQ7 C375 68p SA5 5 6 SA15 5 6 SA15 3 24 -MEMR
SA4 SA14 SA16 A15 OE -MEMW
7 8 7 8 2 A16 WE 31
-0WS R274 1K IRQ9 C388 68p SA17 30 22 -ROMCS
A17 CE -ROMCS 7
4.7K 8P4R 4.7K 8P4R
IRQ10 C384 68p RN77 RN67 SST28SF040
SA22 1 2 SA10 2 1
RN78 IRQ11 C385 68p 3 4 SA11 4 3
-IOCS16 7 8 SA21 5 6 SA13 6 5
D -MEMCS16 IRQ12 SA20 SA12 D
5 6 C386 68p 7 8 8 7
SD8 3 4
SA23 1 2 IRQ14 C389 68p 4.7K 8P4R 4.7K 8P4R
RN70 RN76
1K 8P4R IRQ15 C374 68p -MEMR 1 2 SA3 1 2
Thomas Hsu
TC C383 68p
-MEMW
SA18
3 4 SA2
SA1
3 4 JET WAY INFORMATION
5 6 5 6
SA19 7 8 SA0 7 8 Title
ISA SLOT & SYSTEM ROM & AMR SLOT
4.7K 8P4R 4.7K 8P4R
Size Document Number Rev
IOCHRDY R276 SD0 R275 C 3.0
J603TCF
4.7K 4.7K
Date: Thursday, June 27, 2002 Sheet 13 of 20
1 2 3 4
1 2 3 4

A A

D5
1N4148 -XRI1
-XRI1 15
PRIMARY
R246 R242 -XRI2
Q24 -XRI2 15
1K 10K D16 R202
D21 R243
MMBT3904 2K 1N4148
TC43 -IDERST R98 33 10K
B

1N4148 10u 7 -IDERST


IDE1
6 PDD[0:7] 1 2 PDD[8:15] 6
-RI C E PDD7 3 4 PDD8
6 -RI
RING IN PDD6 5 6 PDD9
PDD5 7 8 PDD10
WOL1 PDD4 9 10 PDD11
5V_SB 1 PDD3 11 12 PDD12
D22 PDD2 PDD13
2 13 14
3 LAN PDD1 15 16 PDD14
PDD0 17 18 PDD15
1N4148 WAKE UP PDDREQ
19 20
Note : Pin 20 DO NOT be installed
6 PDDREQ 21 22
-PDIOW 23 24
R244 NC-0 6 -PDIOW -PDIOR
6 -PDIOR 25 26
LWAKE 20 PIORDY 27 28 R145 470
6 PIORDY -PDDACK
B
6 -PDDACK 29 30 B
IRQ14 31 32
7,13 IRQ14 PDA1
6 PDA1 33 34 PD33_-66 6
PDA0 35 36 PDA2
6 PDA0 PDA2 6
5V_SB -PDCS1 37 38 -PDCS3
6 -PDCS1 -PDCS3 6
39 40

WOM1
1
D23
2
3

1N4148

MODEM
R141
WAKE UP 1K
R140
1K
-HD_LED1 D13 1N4148 HD_LED
HD_LED 15
-HD_LED2 D14 1N4148

SECONDARY
C C

-IDERST R99 33 R209


7 -IDERST
10K IDE2
7 SDD[0:7] 1 2 SDD[8:15] 7
SDD7 3 4 SDD8
SDD6 5 6 SDD9
SDD5 7 8 SDD10
SDD4 9 10 SDD11
SDD3 11 12 SDD12
SDD2 13 14 SDD13
SDD1 15 16 SDD14
SDD0 17 18 SDD15
PIORDY R174 1K 19 20
SDDREQ 21 22 Note : Pin 20 DO NOT be installed
SIORDY 6 SDDREQ -SDIOW
R208 1K 23 24
6 -SDIOW -SDIOR
6 -SDIOR 25 26
SIORDY 27 28 R146 470
6 SIORDY -SDDACK
6 -SDDACK 29 30
IRQ15 31 32
7,13 IRQ15 SDA1
6 SDA1 33 34 SD33_-66 6
SDA0 35 36 SDA2
6 SDA0 SDA2 6
-SDCS1 37 38 -SDCS3
6 -SDCS1 -SDCS3 6
PDDREQ R201 5.6K -HD_LED2 39 40

SDDREQ R212 5.6K


D D

JET WAY INFORMATION


Title
IDE1 & IDE2
Size Document Number Rev
C J603TCF 3.0

Date: Thursday, June 27, 2002 Sheet 14 of 20


1 2 3 4
1 2 3 4

USB FS1
POLY FUSE
miniDC110
PS2 7 -OC0
-OC0 R80
470K
C75 R81 C70
560K L3
1000p FB 1u

H3

H4
A JKB USB1 A
KB_DT 1 5 1
VCC_PS2 2 USBD0- 6 2 USBD1-
7 USBD0- USBD1- 7
FS2 3 USBD0+ 7 3 USBD1+
7 USBD0+ USBD1+ 7
L2 FB 4 8 4
KB_CK 5
NOTE:Z125 is powered by 3V3_SB POLY FUSE C1 TC1 C26

H5

H6
miniDC110 HEADER1X5
.1u GND1 10u .1u GND1
Thomas Hsu
R75 R74 L11 R78 R79
2 1 15K 15K FB 15K 15K
4 3
6 5 Thomas Hsu PS1
8 7 4 6
RN3 4.7K 8P4R 2
KB_DATA L4 FB KB_DT 1
7 KB_DATA Q22
3 5
C R248
Thomas Hsu B
Modification follow reqirement SPEAK 6,17
13 14 E
KB_CLK L6 FB KB_CK of customer MMBT3904 2.2K
7 KB_CLK
C18 15

GND2
47p
C15 17 16
47p R257
10 12
8 330
B MS_DATA L5 FB MS_DT 7 PANEAL1 R268 PANEL1 B
7 MS_DATA
9 11 1 2
330 3 4
MS_CLK L7 FB MS_CK 1 2 R249 5 6
7 MS_CLK
PS2_STACKED_CONN 7 8
C16 C25 3 4 PW_LED 9 10
SPKR RST_SW 22 11 12
47p 47p 19 RST_SW
5 6 13 14
L1 15 16
FB 7 8 17 18
19 20 -EXTSMI
-EXTSMI 6
9 10 HD_LED 21 22
14 HD_LED
RESET 23 24
11 12 -SLPBTN 25 26 PW_BT
7 -SLPBTN PW_BN 6,18
LPT 27 28
13 14 TB_SW
TB_LED FRONT PANEL
15 16
LPT1 C381
C373 0.01uF
13 P_SLCT C130 180p 1uF
25 19 20 0.01uF C366
12 P_PE C129 180p IDE_LED SMI R258
24 21 22
11 P_BUSY C132 180p
23 330
10 P_-ACK C131 180p 25 26
22 SLP_BN PW_BN
9 P_PRD7 C134 180p 27 28
C 21 C
8 P_PRD6 C135 180p C127
20 C124
7 P_PRD5 C148 180p 47p C125
19
COM2 C238 COM1 C121
6 P_PRD4 C153 180p C250 +12V C118
18 +12V C239 U5 C112
5 P_PRD3 C152 180p U10 C251 C113
P_-SLIN C157 180p C240 C109 LPT1-1
17 20 VCC V+ 1
4 P_PRD2 C161 180p 20 1 C252 DCD1 19 2 47p 26
P_-INIT DCD2 VCC V+ 7 DCD1 DSR1 ROUT1 RIN1
16 C168 180p 19 2 C253 18 3 31
P_PRD1 7 DCD2 DSR2 ROUT1 RIN1 7 DSR1 RXD1 ROUT2 RIN2
3 C167 180p 18 3 C241 17 4 27
P_-ERR 7 DSR2 RXD2 ROUT2 RIN2 COM2 7 RXD1 RTS1 ROUT3 RIN3
15 C169 180p 17 4 16 5 32
P_PRD0 7 RXD2 RTS2 ROUT3 RIN3 7 RTS1 TXD1 DIN1 DOUT1
2 C173 180p 16 5 1 6 15 6 28
P_-AFD 7 RTS2 TXD2 DIN1 DOUT1 7 TXD1 CTS1 DIN2 DOUT2
14 C172 180p 15 6 2 7 14 7 33
P_-STB 7 TXD2 CTS2 DIN2 DOUT2 7 CTS1 DTR1 ROUT4 RIN4
1 C174 180p 14 7 3 8 13 8 29
7 CTS2 DTR2 ROUT4 RIN4 7 DTR1 RI1 DIN3 DOUT3
7 DTR2 13 DIN3 DOUT3 8 4 9 7 RI1 12 ROUT5 RIN5 9 34
LPT RI2 12 9 5 11 10 30
7 RI2 ROUT5 RIN5 GND V- -XRI1
11 GND V- 10 -XRI1 14
-XRI2 HEADER_2X5 -12V
-XRI2 14
-12V DS14185
DS14185

P_PRD7 2 1
7 P_PRD7 P_-ACK
7 P_-ACK 4 3
P_BUSY 6 5
7 P_BUSY P_PE
7 P_PE 8 7
RN33 P_-SLIN 1 2
D 7 P_-SLIN P_PRD2 D
4.7K 8P4R 3 4
P_PRD6 7 P_PRD2 P_-INIT
7 P_PRD6 1 2 7 P_-INIT 5 6
P_PRD5 3 4 P_PRD1 7 8
7 P_PRD5 P_PRD4 7 P_PRD1
7 P_PRD4 5 6 RN35
P_PRD3 7 8 4.7K 8P4R
7 P_PRD3
RN34 7 P_-ERR
P_-ERR
P_PRD0
1 2 JET WAY INFORMATION
4.7K 8P4R 7 P_PRD0 3 4
P_-AFD 5 6 Title
P_SLCT R103 7 P_-AFD P_-STB
7 P_SLCT 7 P_-STB 7 8 FRONT PANEL & BACK PANEL
4.7K RN36
4.7K 8P4R Size Document Number Rev
C J603TCF 3.0

Date: Thursday, June 27, 2002 Sheet 15 of 20


1 2 3 4
1 2 3 4

D7 D10 D8
3

1
NC-BAT54S NC-BAT54S NC-BAT54S
3
3 3 3
BAT54S
A A
1 2 1 2

2
BAT54S

GND_V4 VGA CONNECTOR


USE TDK321611 FB
(26 OHMS AT 100MHz) VGA1 R138 2.2K
16
6 R147 2.2K
RED L18 FB1 1 11
4 RED
7
GREEN L20 FB1 2 12 MID1 L23 FB SDA
4 GREEN SDA 4
8
BLUE L19 FB1 3 13 R142 47
4 BLUE
9
C190 C191 C182 C185 C189 C181 4 14 R137 47
R131 R135 R129 10
75 75 75 10p 10p 10p 10p 10p 10p 5 15 MID3 L22 FB SCL
SCL 4
L21 17
C193 C194 C197 C199 C200
FB VGA_PORT 100p
(FEMALE) 120p 120p 120p 120p 100p
B GND_V4 GND_V4 C195 B
1

C392 D12 D11


NC-0.1U NC-BAT54S NC-BAT54S
R130 3 3
NC-10K
14

1
3
4 VSYNC 2
U8A
NC-74ACT08

0 R132
14

4
6
C 4 HSYNC 5 C
U8B C187 C186
NC-74ACT08
R133 0 NC-220p NC-220p

VCC3
Thomas Hsu
R116
4.7K
FAN1 +12V
CPUFAN1 1
6 CPUFAN1
2
3

FAN_CONN

VCC3
Thomas Hsu
R282
D 4.7K D
FAN2 +12V
CPUFAN2 1
6 CPUFAN2
2
3

FAN_CONN JET WAY INFORMATION


Title
FAN CONTROL & VGA CONNECTOR
Size Document Number Rev
C J603TCF 3.0

Date: Thursday, June 27, 2002 Sheet 16 of 20


1 2 3 4
1 2 3 4

VCC3
AVDD5 VDD5
U14
L48 L47
1 DVDD1 AVDD1 25
FB 9 DVDD2 AVDD2 38 FB
TC50 TC45 TC39 C347 C327 C320 TC47 TC40
.1u .1u
CD_IN 10u
C353
10u 10u .1u .1u
4 DVSS1 AVSS1 26
C346
.1u 10u 10u
L42
7 DVSS2 AVSS2 42
CD_IN FB
1 CDL 47 R240 NC-4.7K GND_AUD
EAPD
2 CDGND
3 SYNC 10 46 R239 NC-0 GND_AUD
A CDR 6 SYNC SDIN SYNC ID1 R238 NC-4.7K A
4 8 SDATA_IN ID0 45
LINE_IN 6 SDOUT
SDOUT 5 SDATA_OUT
CD_IN_2.54 -ACRST 11 35 C350 1u LINEOUTL
GND_AUD 6 -ACRST BITCLK RESET LINE_OUT_L
6 BIT_CLK
CN1A R185 36 C349 1u LINEOUTR
LIR LINE_R LINE_OUT_R
25 680
24 R190 1K 14 37 TC38 10u
AUX_L MONO_OUT
23 15 AUX_R
22 LIL R175 1K LINE_L 28 C324 .1u GND_AUD
CDL R204 VREFOUT
21
1K 16 27
R186 CDR R195 VIDEO_L VREF
LINEIN 17 C305 TC37
VIDEO_R
680 1K LNLVL_OUTL 39
GND_AUD 41 .1u 22u
R203 LNLVL_OUTR
47K 47K C314 1u 18
R194 CD_L
C312 1u 20
SPEAK R217 PC_BEEP CDGND CD_R GND_AUD C333 NC-0.22U
C313 1u 19 31
6,15 SPEAK CD_GND NC
1K NC 32
33 C341
R213 C321 LINE_L NC NC-.1U NC-1U NC-1U
C310 1u 23 34
4.7K LINE_R LINE_IN_L NC C330 C334
C309 1u 24 40
LINE_IN_R NC
SPEAK_IN 1000p VDD5 L49 VCC
NC 44 NC-1U NC-1U
43 C338 C345 FOR ALC201A
PC_BEEP NC
FB C325 1u 12 48
MICIN PC_BEEP NC GND_AUD
C311 1u 21 MIC1 GND_AUD
22 MIC2 AFILT1 29
13 PHONE_IN AFILT2 30
C326 C323
B MIC_IN VCC3 2 XTL_IN XTL_OUT 3
102p 102p
B

VDD5 R229 ALC100P


CN1B R176 R283 1M
20 MIR R177 NC-10K GND_AUD
19 1K C339 X4
18 1K NC-102P R231 NC-0 1 2
MICIN 8 AC97_OSC C335 C393
17
16 5P
R228 5p 24.576MHz
C286
MICIN TC35 XTAL_49US
R187 100p NC-10K
2.2K 10u
L41
With wider trace to ground FB GND_AUD

GND_AUD CMI9738
LINEOUTR

R239,C305,TC37,C338,C341,C345,C330,C333,C334 NC LINEOUTL
LINE_OUT
C325,R196,C339,R228,R229,R231,L49,R217,R213
AUDIO C321,L41,R187
LIL 1 2 LIR
3 4
MICIN 5 6 MIR R283 1M
7 8 CN1C
SOUTL 9 10 SOUTR X4 24.576Mhz L26 FB SOUTR 30
C 29 C
HEADER_2X5 C335,C393 22P 28
L25 FB SOUTL 27
Q23 78L05 26
C205
GND_AUD TC48,TC49 10U 470p GND_LOUT LINEOUT
GND_AUD GND_AUD C206 470p L28 FB
C357,C358 .1UF
R175,R190,R195,R204 1.5K
1=OUT
78L05
GAME PORT 2=GND
1 2 3
2
4
6
8

R155
3=IN
R158 RN40
4.7K 4.7K 4.7K 8P4R CN1 R224 22 SDIN +12V Q23 VDD5
L32 6 SDIN_
VCC_JOY 1 78l05_DIP
1
3
5
7

FB 9 I O
JAB1 2
6 JAB1 JBB1 10 TC49
6 JBB1 JACX JACX_ GND_AUD
R169 2K 3 .1u
6 JACX

G
JBCX R162 2K JBCX_ 11 10u C357 TC48
6 JBCX C358
4
MSO .1u 10u
6 MSO 12
5
JBCY R157 2K JBCY_ 13 GND_AUD
6 JBCY JACY JACY_ GND_AUD
R156 2K 6
D 6 JACY JBB2 GND_AUD D
6 JBB2 14
JAB2 7 22 R227 BITCLK
6 JAB2 MSI 6 BITCLK_
6 MSI 15
8
C265 C249
C266
C223
C237
C227
C219
GAME_PORT GND_AUD
JET WAY INFORMATION
C220 C224 .1u Title
L30 100p .01u
AC97 AUDIO CODEC & AUDIO PORTS
FB
GND_MIDI Size Document Number Rev
C J603TCF 3.0

Date: Thursday, June 27, 2002 Sheet 17 of 20


1 2 3 4
1 2 3 4

VCC3
+12V VCC3

VCC2_5

VCC3_CLK R6 +12V VCC3


Q18 1K
FDN351 VTTPG
VTT
R23 C5 R50 L12 R21
4.7 R20
1U
Q3 NC-1U NC-10K 330
1K R7
2N7002 CPWOK
C158 + CPWOK 2
100U C37 1000P 0 R9
A C39 A
3 VTT_PWGD
Q15 1U C105 510
R55
R16 R10 0 C6

28
R19 + +
TCK 2

8
U1 C107 C106
NC-0 3K 0.1U
1K 55N03 1U

PGOOD
VCC
1500U 1500U
9 23 Q13 R22 R47 1.05V~1.825V/28A
VTT VTTPG OCSET
2 FIX 4.7 R87 1.3K NC-39 VCC2
55N03
R11 1K VTTS 27
UGATE
PHASE 26
1.25V OR 1.5V/ 2A R13 1 DRIVE2 Q10 L10 3U
C133 4.7K C48 C29 C7 C47 C28
+ R62 0 C54 + + + + +
-TUAL5 Q2 R12 PIN11 11 25
3 -TUAL5 VSEN2 LGATE
1500U 24K 55N03 R54 1UF 1500U 1500U 1500U 1500U 1500U
2N7002 R56
16 VAUX
24 5.1K 0
VCC2_5 VCC3 PGND
-TUAL5: C33 22
0.1U VSEN1
TUALATIN:LO SET VTT 1.25V R52
COPPERMINE:HI SET VTT 1.5V 21 C38 C36 R61
C4 2N2222A 1K R5 FB NC-5.1K
1.5V OR 3.3V/2A + 18 DRIVE3 1.3K 0.22U
R40 C35 15P 1UF
COMP 20
100U Q8 19 C34 FM3570 ON:RA1-200,RB1-1K
VSEN3 R59
VCMOS 60 FM3570 ON:RA1-0,RB1-NC
R58 100-1% 100K
7 0.015U
VID25 VID4 2
VID0 6 VID0 2
R57 150 15 5
C24 DRIVE4 VID1 VID1 2
C23 R39

FAULT/RT
+
VID2 4 VID2 2
0.1U 14 VSEN4 VID3 3 VID3 2
100U 100 R51 12

GND
SS24 R53
10K-1% SS13 13
1
2

8
6
4
2
VCC3

10

17
B B
JP1 ISL6524 470K/NC R8 RP1
HEADER1X2 C27
Q17 R60 8.2K 8.2K
224 C17

7
5
3
1
360K
C228 55N03
+ 0.1u
VCC2_5 D1 VCC3
2A 1500U R46
1N4148 30K

R41 402
C263 + Q1

1000U R42 1K-1%


MMBT3906

RT9238
VCC3
R56 NC R1 47K
1

MH1 MH2 5V_SB VCC3 +12V 5V_SB


9 8 9 8
R52 NC 10K
R2
2
3
7
6
2
3
7
6
C38 NC
4 5 4 5 R61 105P
TUAL5 3,8
NC/Mounting Hole8 NC/Mounting Hole8 D2
C35 560 NC-1N5817DIP_DO41
C34 NC
1

MH3 MH4 TC5 + TC4 + NC-1U C53 C367 + TC7


NC-10U NC-10U
9
2
8
7
9
2
8
7
R59 NC NC-10U C58 NC-1U
3 6 3 6 C27 104P NC-10U

16

15
4 5 4 5

3
NC-0.1U U2
C
NC/Mounting Hole8 NC/Mounting Hole8
R46 0 C49 1
C

5VSTBY
VCCP

5VMAIN
GND_AUD GND_AUD 3V3_SB QCAP
R53 NC
1

MH5 MH6
9 8 9 8
R60 NC 2 PUMP NC-NZT651 SOT252&SOT223
Q11
2
3
7
6
2
3
7
6
R41 100 4 3VOUT1 V_DIM
4 5 4 5 R42 249 NC-4.7K 5
VADJOUT 14

NC/Mounting Hole8 NC/Mounting Hole8 3VOUT2


R12 19.1K VADJ 13
NC-16.9K
3.3V/3A
R77 6 R84
5V_SB 3V3_SB 3VFB
Q19 6,19 -SUSB 7 SLP_S3
NIKO_L1087-3.3 6,19 -SUSC 8 SLP_S5
1

3 2 9 12 +

GND
VIN VOUT PWR_OK VADJFB
10
1

SS TC8
TC30 TC31 C274
GND

.1u R76 PWR_OK FROM ATX + R83 1000U

11
10u 10u .1u FD2 FD1 MH7 MH8 MH9 POWER GOOD TC10 C78 NC-FAN5063_SOIC
C151 FIDMARK FIDMARK PAD4MM PAD4MM PAD4MM NC-1000U NC-0.1U
NC-10K
1

NC-10K 5V_SB 5V_SB


R82
5V_SB Q21 P3V3_SB U7A
14
4

NIKO_L1087-3.3 C103
3 2 2 5 R127 1.5K -RSMRST NC-1U D4 470
VIN VOUT 5V_SB D P Q -RSMRST 6
TC46 TC44 C343 3 6 R128 C360 Q12
GND

6,15 PW_BN C Q
3.3K NC-1N4148 NC-MMBT2907A_SOT23
.1u .1u
10u 10u AHCT74 0.1UF
C391 R125 NC-500K
1

5V_SB
R92
1

D
10K D
C160
1u UVP DISABLE CKT

V_DIM VCC3 FOR STR FUNCTION JET WAY INFORMATION


JMP2 Title
1 2 DC-DC CONVERTER
3 4
Size Document Number Rev
HEADER_2X2 Custom J603TCF 3.0

Date: Thursday, June 27, 2002 Sheet 18 of 20


1 2 3 4
1 2 3 4

decoupling capacitors for DRAM signals with vias


Place these capacitors near vias

V_DIM

C179 .1u
A C178 .1u A

5V_SB +12V C159 .1u


-5V -12V VCC3

5V_SB

TC19 TC41 C122 C192 C258


C209 TC23 TC28 + C395
ATX 10u 10u .1u .1u 1u 470U
.1u 10u 10u
11 3.3V 3.3V 1
R159 12 2 C90 .1u
-12V 3.3V
4.7K 13 3
JP2 GND GND
14 4 1u C52
PS-ON 5V
15 GND GND 5
-SUSB 16 6 R148 C108 .1u
6,18 -SUSB GND 5V
1 C 17 GND GND 7 4.7K
2 R160 B Q16 18 8 PWRGD C149
-5V PW-OK 1u
3 1K E MMBT3904 19 5V 5VSB 9
-SUSC 20 10 C150 1u
6,18 -SUSC 5V 12V
1-2:STR POWER DOWN ATX_PWR_CONN C110 .1u
2-3:SOFT OFF POWER DOWN
C116 .1u
R153
3.48K 1% C262 1u

FOR STR FUNCTION 15 RST_SW


R151 R154
PW_GOOD 5,6 C184 1u
B 22 100 B
R152 C214 TC25 C111 .1u
VCC2_5 6.65K 1%
.1u 10u C166 .1u

NC-1u (BOT) CB11 C51 1u

CB6 NC-1u (BOT) C91 .1u

CB7 NC-1u (BOT) C226 .1u

CB14 NC-1u (BOT) C261 .1u

CB10 NC-1u (BOT) C204 .1u


+12V
VCC3 NC-1u (BOT) CB5
TC55 10u
.1u C268 Under NB
Thomas Hsu Removed VCC TC7 10uF CAP C396 470U
.1u C254 VCC3 Layout remove 2 VCC3 CAPs VCC2

+
VCC2
CB13 .1u C352 1u VCC3 10u_SMD TC9 C380 C397 470U
C67 1u

+
C198 .1u C368 1u .1u C2 1u C68
C59 1u .1u -12V
C308 .1u C285 .1u TC2 4.7u (DIP)
C76 1u C259 .1u TC54 10u
.1u C31 C328 .1u C243 1u C100 1u
C
C19 1u C123 .1u C
C255 1u C96 1u -5V
C94 1u C208 .1u
C267 1u C120 1u 10u_SMD TC6 TC57 10u
C82 1u C210 .1u
.1u C196 C372 1u C43 1u
C61 1u C221 .1u
Layout remove vcc3 CAPs C319 .1u C307 1u 1u C42
C57 1u C225 .1u VCC2_5
.1u C364 TC36 1000u 1u C41
C86 1u .1u C175
VCC3 .1u C244 TC53 1000u C40 1u
C74 1u .1u C65
C203 1u 1u C83 C229 1u
C79 1u TC12 1000u
CB12 NC-1u (BOT) C222 1u VTT C242 .1u
C50 1u TC17 1000u
CB2 NC-1u (BOT) .1u C382 .1u C119 C256 .1u
5V_SB C11 1u TC26 10u
C218 1u C136 1u C188 .1u
1u C351 C56 1u TC51 10u
.1u C117 TC14 10u C260 1u
C207 1u C84 1u TC21 10u
TC11 1000u C183 1u
1u C273 C98 1u
TC33 1000u TC27 10u
Under NB C180 1u C95 1u
TC32 1000u TC18 10u
D D
P3V3_SB C101 1u
3V3_SB
3V3_SB C62 1u

C340 .1u C348 1u C322 1u C45 .1u JET WAY INFORMATION


Title
Around SB ATX POWER/BYPASS CAPACITORS
C342 1u
Size Document Number Rev
C J603TCF 3.0

Date: Thursday, June 27, 2002 Sheet 19 of 20


1 2 3 4
A B C D E

L38
L37 LANVDD LANV25
1 2 LANVDD
LANVDD 1 2

1
C282 X2

1
C281 0.1U FB0603-300 D18
FB0603-300 0.1U LANX1 1 2 LANX2
L39

2
L36

1
C272 C284 1N4148

2
1 2 LANV25 27P 25MHz 27P
LANVDD 1 2 XTAL_49US

1
C283

2
1
A C280 FB0603-300 23BCE A
FB0603-300 0.1U 0.1U VCTRL NC-PNP3906_S_1

2
Q20
R172

2
5.6K_1%

R189 LWAKE 14
VCC3 -PME 6,11,12
1K

1
R188 + C297 C303 C299

LANRXIN+

LWAKE
LANRXIN-
LANTXD+
15K

VCTRL
LANISOV
10U 0.1U 0.1U

LANX1
LANX2
LANTXD-

-PME
LANV25

LANV25

LANV25
LED1

LED2

GND

GND

GND

GND

2
R191 5.6K_1%

80
79
78
77
76
75
74
73
72
71
70
69
68
67
66
65
64
63
62
61
60
59
58
57
56
55
54
53
52
51
U13 LANVDD
LANVDD

ISOLATEB

RXIN+

VCTRL
GND

GND

GND
X1
X2

GND
NC

AVDD

TXD+
TXD-
AVDD

RXIN-

RTSET

RTT3

AVDD

PMEB

NC
NC
NC
AVDD25
LED0
LED1

LED2

AVDD25

AVDD25

VDD25
LWAKE
P3V3_SB VCC3
LANVDD
-INTR_D 81 50 LANAUX U12 D20
6,11,12 -INTR_D -PCIRST INTAB AUX LANEECS
6,11,12 -PCIRST 82 RSTB EECS 49 1 CS VCC 8

1
R198 0 LANCLKR 83 48 LANEESK 2 7 C287
8 LANCLK -GNT3 CLK EESK LANEEDI SK NC 0.1U L43
84 47 3 6 SMB120
5 -GNT3 -REQ3 GNTB EEDI LANEEDO DI NC GND
85 46 4 5 D19
5 -REQ3 A_D31 REQB EEDO A_D0 DO GND LANVDD

2
86 AD31 AD0 45 1 2
A_D30 87 44 A_D1 9346
GND AD30 AD1 GND
88 43 SMB120
B A_D29 GND GND A_D2
89 AD29 AD2 42 FB0603-300 B
LANVDD 90 41 A_D3
A_D28 VDD AD3 LANV25
91 AD28 VDD25 40
A_D27 92 39 LANVDD
A_D26 AD27 VDD A_D4
93 AD26 AD4 38
A_D25 94 37 A_D5
A_D24 AD25 AD5 A_D6
95 AD24 AD6 36
LANV25 96 35 LANV25
LANVDD VDD25 VDD25 LANVDD LANVDD
97 VDD VDD 34
C_-BE3 98 33 A_D7
5,6,11,12 C_-BE3 A_D22 CBE3B AD7 C_-BE0
99 IDSEL CBE0B 32 C_-BE0 5,6,11,12
A_D23 100
DEVSELB
31 GND
AD23 GND
FRAMEB

PERRB
SERRB
STOPB
TRDYB
CBE2B

CBE1B
VDD25

IRDYB
AD22

AD21
AD20
AD19

AD18
AD17
AD16

AD15
AD14
AD13
AD12
AD11
AD10
AD:22
GND

GND
VDD

VDD
PAR

AD9
AD8
PIRQ#D

1
RTL8100BL-LQFP + C316 C301 C304 C300 C306 C317 C318
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
10U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U
1
2
3
4
5
6
7
8
9

-DEVSEL
-FRAME
C_-BE2

2
A_D22

A_D21
A_D20
A_D19
LANVDD

A_D18
A_D17
A_D16

LANVDD
A_D15
A_D14
A_D13
A_D12
A_D11
A_D10
-PERR
LANV25

-SERR
-STOP

A_D9
A_D8
C_-BE1
GND

GND
-IRDY
-TRDY

A_D[0..31]
PAR

5,6,11,12 A_D[0..31]

5,6,11,12 C_-BE2 C_-BE1 5,6,11,12


5,6,11,12 -FRAME PAR 5,6,11,12
5,6,11,12 -IRDY -SERR 5,6,11,12
C 5,6,11,12 -TRDY -PERR11,12 C
5,6,11,12 -DEVSEL -STOP 5,6,11,12

P3V3_SB
1

C92 C115 CONNECTOR TOP VIEW


0.1U 0.1U P/N:UB1112C-L1 (FONCONN)
R97 R96 UL_B1
49.9_1% 49.9_1%
2

LED1 L10 L9 R69 330 L9 L10 L11 L12


LED2 PLED0 VCC
U3
L12 PLED1 VCC L11 R68 330
o o o o
L7 L5 L3 L1
LANRXIN+ 1 RD+ RX+ 7 RJRX+ L3 RO+ LANVDD
UL-2 LAN o o o o
LANRXIN- 2

3
RD-

CT
CT

RX-
5

6 RJRX-
L6
L5
L4
RO-
NC
NC
o
x H1
o o o o
L8 L6 L4 L2 H2 o
x
LANTXD- 15 11 RJTX- H3 H4

14
TD-

CT
TX-

CMT 12 L1 TO+ ooooo x


x oU1 U2 U3 U4
1

HOLE H1 + C302 C315


LANTXD+ 16 10 RJTX+ L2 H2 10U 0.1U U5 U6 U7 U8
TD+ TX+ TO- HOLE
L7 H7 H5 o o o o H6
NC HOLE
x
o o
x
2

L8 NC HOLE H8
D FC515 UL-1 USB D

R95
49.9_1%
R94
49.9_1%
R89 NC-0
R85
75
R86
75
R70
75
R71
75
UL-2
x
o H7 H8 o
x
LANVDD

C66 JET WAY INFORMATION


1

C104
NC-0.1U Title
RTL 8100BL LAN
1

C114
0.1U
2

0.1U Size Document Number Rev


A3 J603TCF
2

0
Date: Thursday, June 27, 2002 Sheet 20 of 20
A B C D E

Você também pode gostar