Você está na página 1de 56

Kidolgozott llamvizsgattelek

Szmtgp Architektrk I-II-III.


trgyakhoz
2010. jnius

A sikeres llamvizsghoz kizrlag ennek a dokumentumnak az ismerete nem elgsges, a tmakrket a Szmtgp Architektrk I-II-III rai jegyzetek rszletesebben trgyaljk (de azok sem helyettestik az rai rszvtelt!). A kidolgozott ttelsorban s az rai jegyzetben elfordul hibkrt a jegyzetek kszti semmilyen felelssget nem vllalnak!

A jegyzeteket rta, elkszlsket segtette s frisstette: mrjrm, Pogcsa, Pheenix, Quetzalcoatl, AnNo, Broadcast s Flix. Ne szaktstok flbe a hagyomnyt ;) Ezton is ksznm az oktatknak, hogy trelmkkel s szakrtelmkkel hozzjrultak a jegyzetek elkszlshez!

llamvizsga 2010. jnius 29.

Ksztette: Flix

Tartalomjegyzk
SzA1. Szmtsi modell ................................................................................................. 3 SzA2. Az adattr ........................................................................................................... 6 SzA3. A szekvencilis utastsvgrehajts menete .................................................... 11 SzA4. Az utasts- s operandus tpusok .................................................................... 12 SzA5. Az aritmetikai egysgek felptse I. ................................................................ 14 SzA6. Az aritmetikai egysgek felptse II. ............................................................... 16 SzA7. Az aritmetikai egysgek felptse III. .............................................................. 17 SzA8. Vezrlegysg ................................................................................................... 19 SzA9. Flvezets trak ................................................................................................ 21 SzA10. Megszaktsi rendszerek................................................................................. 23 SzA11. Kls snrendszer ............................................................................................ 26 SzA12. A processzor rszvtelvel zajl I/O rendszer ................................................ 28 SzA13. A kzvetlen memria-hozzfrs (DMA)........................................................ 31 SzA14. Az egyes alkotelemek sszeraksa ............................................................... 33 SzA15. Szmtgp architektrk osztlyozsa ......................................................... 34 SzA16. Adatfggsgek .............................................................................................. 35 SzA17. Vezrlsfggsgek s teljestmnykorltoz hatsuk cskkentse ............. 36 SzA18. Szekvencilis konzisztencia............................................................................. 37 SzA19. Az elgazsok vizsglata ................................................................................. 38 SzA20. Az utastsok idben prhuzamos feldolgozsnak alapvet lehetsgei .... 40 SzA21. A futszalag (pipeline) elv utasts-vgrehajts ........................................... 41 SzA22. Els genercis (keskeny) szuperskalr processzorok ................................... 42 SzA23. Els genercis (keskeny) szuperskalr processzorokra esettanulmny ....... 44 SzA24. Msodik genercis (szles) szuperskalr processzorok ............................... 46 SzA25. Utastslehvs I. ............................................................................................. 47 SzA26. Utastslehvs II. ............................................................................................ 48 SzA27. Eldekdols................................................................................................... 50 SzA28. Kibocstshoz kttt operandus-lehvs........................................................ 51 SzA29. Kikldshez kttt operandus-lehvs ........................................................... 52 SzA30. trendezsi puffer (ROB) ................................................................................ 53 SzA31. Msodik genercis (szles) szuperskalr processzorokra esettanulmny ... 54 SzA32. Harmadik genercis szuperskalr processzorok: az utastson belli prh . 56 SzA1-8: Szmtgp Architektrk I. (Dr. Broczk Pter) SzA9-10: Szmtgp Architektrk II. (Koschek Vilmos) SzA11-14: Szmtgp Architektrk I. (Dr. Broczk Pter) SzA15-32: Szmtgp Architektrk II. (Dr. Broczk Pter) SzA33-64: Szmtgp Architektrk III. (Dr. Sima Dezs)

-2-

llamvizsga 2010. jnius 29.

Ksztette: Flix

I. Az utastsszint (logikai) architektra


SzA1. Szmtsi modell (fogalma; kapcsolatai, fajti, a Neumann-fle s az adatfolyam szmtsi modell)
A szmtsi modell - fogalma: A szmtsra vonatkoz alapelvek absztrakcija (Korbban a jelentse: soros vagy prhuzamos vgrehajts?). - jellemzi: 1. Min hajtjuk vgre a szmtst? 2. Hogyan kpezzk le a szmtsi feladatot? 3. Mi vezrli a vgrehajts sorrendjt? A szmtsi modell, a programnyelvek s az architektra kapcsolata

Programnyelv

Architektra

Szmtsi modell

Fejlesztsi kronolgia
Szmtsi modell

Lersa ignyeket tmaszt Ez kielgti a szmtsi modell ignyeit

Programnyelv

Architektra

A szmtsi modellek csoportostsa: Min hajtjuk vgre a szmtst? elv szerint:


Adatalap szmtsi modellek - Neumann-fle szmtsi modell - Adatfolyam szmtsi modell - Applikatv szmtsi modell (igny vgrehajtott) Objektum-alap szmtsi modellek ('70 -es vek) Prediktum-logika alap szmtsi modellek (Prolog) Tudsalap szmtsi modellek (a tuds nehezen mrhet) Hibrid (ltalban Neumann-fle + Adatfolyam)
van piaci megvalstsa

Egyre bonyolultabb, egyre nagyobb szmtsi kapacits kell ksrleti stdium

szekvencilis + prhuzamos feldolgozs

-3-

llamvizsga 2010. jnius 29.

Ksztette: Flix

Neumann-fle szmtsi modell


1. Min hajtjuk vgre a szmtst? - adatokon - az adatokat vltozk kpviselik - biztostott, hogy a vltozk korltlan szmban vltoztathassk rtkeiket (tbbszrs rtkads engedlyezett) - adatok s utastsok azonos memriaterleten helyezkednek el Deklarlt vltozk x y z Memria

2. Hogyan kpezzk le a szmtsi feladatokat? - adatmanipull utastsok sorozatval Deklarlt vltozk (adatok)

adatmanipull programutastsok

Adatmanipulci (Szekvencilis) 3. Mi vezrli a vgrehajtst? - az adatmanipullsok szekvencija (implicit: termszetes sorrend; add, mul, sub...) - az explicit vezrlstad utastsok (pl. goto, if, for) Utastsok

PC

- vezrls-meghajt (control-driver) - programnyelvek: Basic, Pascal, C, parancsnyelvek - architektra: Neumann-fle architektra

-4-

llamvizsga 2010. jnius 29.

Ksztette: Flix

Adatfolyam szmtsi modell


o Min hajtjuk vgre a szmtst? - adatokon, az adatokat bemen adathalmaz kpviseli, egyszeres rtkads! o Hogyan kpezzk le a szmtsi feladatot? - adatfolyam grffal = a csompontok jelentik a mveletet (mveletvgzk) = lek az adat input-outputot, azaz adat-utakat, ahol az adat kzlekedik (I/O vez.) pl. z = ( x + y ) * ( x y ) X Y

Z
*

- mg a Neumann-fle modellben a plda 3 db utastst ignyel: - sszeads (add) - kivons (sub) 3 idegysg - szorzs (mul) - addig az adatfolyam modellnl az sszeads s kivons prhuzamosan vgezhet, teht pldnkban 33%-os idmegtakartst rtnk el (3 idegysg helyett csak 2). Ez a szmtsi modell 1998 ta van a processzorokban (Pentium Pro megjelense) o Mi vezrli a vgrehajtst? - adatvezrelt (ms nven: strber modell) 1. 2. 3. 4. adat mg nincs az egyik operandus rendelkezsre ll adat megjelenik egy vezetken ha mindkt operandus biztostott, mveletvgzs, azonnal az eredmny elllt (bra a korbbi jegyzetben)

Neumann-fle modell
1. 2. 3. Vltozk: adatokon hajtjuk vgre kzs operatv tr ( => program+adat) Adatmanipull utastsok; szekvencilis, 1 processzor hasznlata Implicit szekvencia (adatman.utas.), explicit vezrlstads, vezrlsmeghajtott

Adatfolyam szmtsi modell

Adatokon, egyszeres rtkads, bemen adathalmazon. Az adattrolst az lek vgzik Adatfolyamgrf Sok mveletvgz, prhuzamos mkds Adatvezrelt, az sszes bejv adat megjelensekor, azonnal

Programnyelvek: pl. Sigal Architektra: The Manchester Dataflow Machine -5-

llamvizsga 2010. jnius 29.

Ksztette: Flix

SzA2. Az adattr (fogalma; a memria-tr; a regisztertr s fejldse: egyszer, adattpusonknt klnbz, tbbszrs regisztertr) Adattr: A processzor ltal manipullhat tr, amg a processzor elr. pl. vezrlkrtyn I/O regiszter is idetartozik Adattr Memriatr Nagyobb Lassabb Kls lapkn Olcsbb Lehet kzs az I/O cmtrrel Memriatr Trolsi kapacitsa az egyik legfontosabb tulajdonsga Ktfle cmtr o Modell cmtere: a cmsn szlessge hatrozza meg a kapacitst (32 bit: 4GB) o Implementci cmtere: alkalmazs ignye, ill. anyagi lehetsgek hatrozzk meg Virtulis memria Megjelense: '50-es vek, elterjedse az IBM370-es gpcsaldhoz kthet. Jellemzi: ktfle memria: fizikai s virtulis memria Ltezik olyan, a felhasznl szmra transzparens mechanizmus, mely az ppen fut program szmra nem szksges program- s adatrszeket kiviszi a vals memriatrbl a virtulis memriatrbe, majd amikor ezen adatok szksgess vlnak, visszaviszi a vals memriatrbe. Ktirny adatforgalom: *vals memria+ *virtulis memria+ Ltezik egy olyan, a felhasznl szmra transzparens mechanizmus, mely a felhasznl ltal hasznlt virtulis cmeket a futsi (execution) fzisban lefordtja vals cmekk. Ez egyirny, virtulis cm => vals cm Cmtr Regisztertr (4 biten cmezzk) Kisebb Gyorsabb A processzor lapkjn (vezrlkrtyn is) Drgbb Mindig nll cmtr

Fizikai memria Flvezet lapka Itt fut a program Sokkal kisebb Ezt ltja a processzor Gyorsabb

Virtulis memria Httrtr Itt vr a program Sokkal nagyobb Ezt ltja a programoz Lassabb

-6-

llamvizsga 2010. jnius 29. Regisztertr Regiszterek osztlyzsa: 1. egyszer regisztertr 2. adattpusonknt klnbz regisztertr 3. tbbszrs regisztertr 1. Egyszer regisztertr a) 40-es vek: egyetlen akkumultor AC

Ksztette: Flix

Htrnyai: o Az eredmnyt rendszeresen ki kell menteni o Bizonyos mveleteknek kt eredmnye van (pl. oszts esetn hnyados s maradk), az egyik az operatv trba (memria) szorul lass

b) 50-es vek: egyetlen akkumultor + dediklt regiszter AC Dediklt regiszter


Elnye: Gyorstotta a mveletet Htrnyai: Drga, tovbb az esetek tbbsgben resen ll

c) 60-as vek: univerzlis regiszterkszlet Mindet el lehet rni

Elnyei:
o o o ltalnos cl Igyekeztek minden vltozt csak addig bent tartani a regiszterben, amg szksg van r Megfelel gazdlkodssal jelents programgyorsts rhet el

d) Veremregiszter (stack)

Csak fellrl lehet elrni

Elnye: Igen gyors Htrnyai: Mivel csak a verem tetejt ltjuk, ezrt szk keresztmetszetet ad

-7-

llamvizsga 2010. jnius 29.


2. Adattpusonknt klnbz regisztertr

Ksztette: Flix

Clja: az adatfeldolgozs gyorstsa - klns tekintettel a lebegpontos adatbrzolsra. Szorzs esetn karakterisztika sszeaddik, mantissza sszeszorzdik. 1 8 23

mantissza eljele

karakterisztika

mantissza

ltalnos cl regiszterkszlet

Lebegpontos regiszterkszlet

Lebegpontos SIMD regiszterkszlet

SIMD (Single Instruction Multiple Data): azon utastsoknak sszefoglal neve, amelyek egyszerre 3. Tbbszrs regiszterkszlet

Httr informci: - Rszei: = a regiszterek aktulis tartalma = az llapot-informcik (flag) Kontextus - megszakts esetn le kell mentennk az ppen fut program kontextust, annak rdekben, hogy majd a programot folytatni lehessen ugyanonnan - a tbbfeladatos s tbb felhasznls feldolgozsnl igen sok a megszakts. Amennyiben a kontextust az operatv trba mentjk lass A memria helyett tbbszrs regiszterkszletet hasznljunk

-8-

llamvizsga 2010. jnius 29.

Ksztette: Flix

Tbb egymstl fggetlen regiszterkszlet: - fggetlen folyamatoknl idelis, pl. megszaktsok - paramter-tadsos eljrsnl nem gyorst, mivel a paramtertads a memrin keresztl trtnik lass, az tadst gyorstsuk valahogy: tfed regiszterkszlet:
INS LOCALS OUTS INS LOCALS OUTS INS LOCALS OUTS

y tengely: regiszterek szma x tengely: regiszterkszletek szma A paramter-tads problmjra megolds. Jellemzi: o a hv eljrs OUTS rsze fizikailag megegyezik a hvott eljrs INS rszvel, nem kell a regiszterek kztti mveleteket vgrehajtani. o a regiszterek szma fix, merev, viszonylag res regiszterkszlet mellett is elfordulhat a tlcsorduls: ez a memria ignybevtelvel kerl feldolgozsra lassul a feldolgozs

-9-

llamvizsga 2010. jnius 29. A regiszterkszletek szma:

Ksztette: Flix

= az brn lthat, hogy 6-8 regiszterkszlet esetn mr igen csekly %-os a tlcsorduls = a programozs mdszertan sem ajnlja, hogy 8 -nl tbb eljrst gyazzunk egymsba, emberek szmra nehezen kvethetv vlik a programozs. RISC I -nl 8 db regiszterkszlet szksges (4-5% tlcsorduls) Problma: fix s merev Stack-cache: tvzi - a cache gyorsasgt s a veremregiszter szervezst - a regiszterek kzvetlen cmezhetsgt Mkdse: - a compiler minden eljrshoz hozzrendel egy-egy vltoz hosszsg aktivlsi rekordot (regiszterkszletet)

- a hv eljrs OUTS rsze fizikailag megegyezik a hvott eljrs INS rszvel - az aktivlsi rekordok szmnak csak a stack-cache fizikai mrete szab hatrt (a tlcsordulst kikszbljk) Ezekrl nem volt sz rn, de egy korbbi jegyzetben igen: - az adott aktivlsi rekordot az SP segtsgvel kzvetlenl is elrhetjk - egy adatot is elrhetnk kzvetlenl az SP s a relatv tvolsg megadsval

- 10 -

llamvizsga 2010. jnius 29.

Ksztette: Flix

SzA3. A szekvencilis utastsvgrehajts menete (az aritmetikai utastsok s a felttlen vezrls-tadsi utasts vgrehajtsnak smja) Az utastsfeldolgozs ltalnos folyamatbrja:

Megszaktst csak kt utasts feldolgozsa kztt lehet elfogadni! A processzor regiszterei:

Utasts-vgrehajts (minden utasts esetn eltr) - aritmetikai-logikai utastsok (MK -tl fgg) DEC IR MAR DEC cmrsz MDR (MAR) s AC AC + MDR vagy AC - MDR vagy AC * MDR vagy AC / MDR - a felttlen vezrlstads (ez a PC fellrsa a gyakorlatban) DEC IR PC DEC cmrsz

- 11 -

llamvizsga 2010. jnius 29. SzA4. Az utasts- s operandus tpusok (utasts- s operandus tpusok; szablyos architektrk)
Az utastsok fajti (utasts-tpusok):

Ksztette: Flix

MK

Cmrsz

op operandus, s Source (forrs), d Destination (cl), @ - tetszleges mvelet

4 cmes utasts: - opd := ops1 @ ops2 , op4 - a 4. operandus a kvetkez vgrehajtand utasts cmt tartalmazta Htrnya: = memriapazarls = tovbbi adatrgztsi hibk = merev program-struktra nehz a program karbantartsa - Pl. ENIAC 3 cmes utasts: a kvetkez utasts cmt egy specilis hardverben troljuk: PC - opd := ops1 @ ops2 - az eredmny helynek explicit deklarlsa - Elnye: az elz utasts eredmnynek mentsvel prhuzamosan tlthetjk az aktulis utasts kt bemen operandust. - Htrnya: Neumann szerint tipikusan az elz mvelet eredmnye a kvetkez mvelet egyik bemen operandusa, akkumulldjon az eredmny a gyjtben! - Olyan helyeken hasznljk ahol nagy mennyisg adat van s nincs elgazs. 2 cmes utasts - ops1 := os1 @ ops2 vagy ops2 := ops1 @ os2 - pl. ADD*100+,*102+: a gyakorlatban nem hasznljuk - elnye: = kevesebb trhelyet ignyel (regiszter v. memria), de az egyiket fellrjuk. = kielgti a Neumann fle kvetelmnyt - Pl. IBM 360/370, Intel processzorokban (mai CISC architektra) 1 cmes utasts - be kell tlteni az egyik operandust az akkumultorba LOAD*100+ - az sszead utastsban lv operandust hozzadjuk az AC -hoz s az eredmny az AC -ban keletkezik: ADD[102] - az AC tartalmt kimentjk: STORE[100] Az utastsok maguk rvidebbek, de tbb utastsra van szksg 0 cmes utasts - fajti = NOP No OPeration = a mveleti kd tartalmazza az operandust is, pl. CLEARD a Dflag trlse = verem-mveletek PUSH, POP - 12 -

llamvizsga 2010. jnius 29.


Napjaink trendje:

Ksztette: Flix

3 cmes utastsok a RISC gpekben - mindhrom cm regiszterbeli operandusra mutat (r r r) - csak a Load/Store utasts engedlyezett 2 cmes utastsok a mai CISC gpeket jellemzik: - ltalban az els operandus helyn keletkezik az eredmny - az els operandus kizrlag regiszter lehet

Operandus-tpusok

akkumultor (a)

memria (m)

regiszter (r)

verem (stack - s)

immediate (i)

immediate: magban a programban adunk rtket a vltoznak a gyakorlatban ez bemen operandus (runtime)

Architektrk osztlyozsa szablyos kombinlt(pl. a+m) - a mai CISC processzorok

akkumultor a-r a-m

memria 2 cmes 3 cmes

regiszter 2 cmes 3 cmes

verem SSS

aar ara aam ama (m1m1m2 m2m1m2 m1m2m3) r1r1r2 A: Akkumultor M: Memria R: Regiszter S: Stack

r2r1r2

r1r2r3

Akkumultor (1 db) - elny: gyors, rvid cm - htrny: szk keresztmetszett - napjainkban nem aktulis, ritkn alkalmazzk Regiszter - elny: igen gyors (kevs a regiszterek szma), rvid cm - napjainkban RISC gpekben (3 cmes) Memria - elny: nagy cmtr - htrny: lass, hossz cm hossz utasts - napjainkban nem aktulis Verem - elny: gyors: 0 hosszsg cm - htrny: szk keresztmetszett - Pl. HP 3000, VT 1005 (VT: VideoTon) - 13 -

llamvizsga 2010. jnius 29.

Ksztette: Flix

II. Hagyomnyos mikroarchitektra (fizikai architektra)


SzA5. Az aritmetikai egysgek felptse I. (az n-bites soros s prhuzamos sszead, valamint az elrejelzett tvitellel felptett n-bites sszead) N-bites soros sszead

N-bites prhuzamos sszead

Elrejelzett tvitellel felptett n-bites sszead (Carry-Look-Ahead = CLA)

Pl. C3 meghatrozsa elre (rszletesebben lsd: rai jegyzet)

- 14 -

llamvizsga 2010. jnius 29. 1. Katalgus ramkrkkel egybites teljes sszead + CLA:

Ksztette: Flix

2. Az egybites teljes sszeadt kiegsztjk 2 j ramkrrel (egy S, s egy VAGY kapuval), hogy meghatrozzuk a P s a G rtkt:

3. A VAGY kapu bemeneteinek szma technolgiai korltokba tkzik, ezrt maximum 8 bit vonatkozsban pthet meg a CLA.32 bit megvalstsi lehetsge:

Htrny: a CLA egysgek kztt az tvitel sorosan terjed, ami lass. 4. CLA a CLA-k szmra:

- 15 -

llamvizsga 2010. jnius 29. SzA6. Az aritmetikai egysgek felptse II. (a fixpontos szorzs algoritmusai s gyorstsi lehetsgek)

Ksztette: Flix

A fixpontos szorzs algoritmusai: - Hagyomnyos mdszer: a rszeredmnyeket sszegezzk - Algoritmikus mdszer: egy gyjtben sszegezzk az aktulis rszeredmnyt az elzkkel - Lptetses mdszer: az aktulis rszeredmnyt balra lptetve adjuk hozz az elzekhez Pldkat lsd: rai jegyzet. Binris szorzs sajtossgai o A binris szm hossza: a binris szmok 3-4x hosszabbak, mint a decimlis szmok az sszeadsi ciklusok szma magasabb lesz o A szorzat hossza: mivel a szorzand s a szorz is egy-egy regiszterben helyezkedik el, gy a szorzat kett regiszterben keletkezik. A szorzat kisebb helyirtk rsze a szorz helyn kpzdik (Ezek az rai jegyzetben bizonytva vannak) A szorzs gyorstsa - bitcsoportokkal val szorzs = a lptets nem egyesvel, hanem csoportonknt hajthatjuk vgre gyorsabb = Pl. 2-es bitcsoportok: o o o o 00 kettt lptetek balra (00 -val szorzunk) 01 a gyjthz hozzadom az egyszerest, majd lptetek kettvel balra 10 a gyjthz hozzadom a ktszerest, majd lptetek kettvel balra 11 a gyjthz hozzadom a hromszorost, majd lptetek kettvel balra (Plda az rai jegyzetben) Az utbbi (11) csak ELVBEN ltezik, ennek tovbbfejlesztse:

Booth fle algoritmus (ma is hasznlja ezt mindegyik processzor) = A binris szorzson bell az sszeadsi ciklus annyiszor fut, amg egyes van a szorzban = Az algoritmus cskkenti a szorzban lv egyesek szmt. Akkor hatkony igazn, ha sok egyms utni egyes van benne. Plda: Eredeti szorz: x*62 111110 Helyette: x*64 1000000 x*2 000010 5 db sszeads 1 db sszeads 1 db sszeads (ezt vonjuk majd le az elzbl) 1 db kivons (megvalstsa: sszeads) = 3 db sszeads. 40% -os gyorsuls.

- 16 -

llamvizsga 2010. jnius 29.

Ksztette: Flix

SzA7. Az aritmetikai egysgek felptse III. (a fixpontos oszts algoritmusai; a lebegpontos algebrai mveletek s megvalstsuk) A fixpontos oszts algoritmusai: Hagyomnyos oszts Ha mr nem tudja kivonni, kirja a szmjegyet, szubrutin: cskkenti a szorzt 1/10 -re. Htrny: minden kivons eltt kell komparlnunk => lass Visszatrs a nulln t: Eljel flag vltozsa esetn szubrutin: kirja a szmjegyet, osztt hozzadja az eredmnyhez, szoroz tzzel, majd folytatja a kivonst. Htrny: felesleges munkt vgznk a visszatrs miatt. Visszatrs nlkli oszts: Amint a 0 -t tlpjk, az osztt cskkentjk a tizedre, majd ezzel kzeltnk 0 -hoz, amg azt t nem lpjk, utna folytatjuk. Flaget hasznl, a felesleges tlpkedst kihasznlja. Ez a leggyorsabb mdszer. Geometriai rtelmezseket is tudni kell, lsd: rai jegyzet. A lebegpontos algebrai mveletek s megvalstsuk

sszeads
X=A+B, ahol A=mA*rkA s B=mB*rkB Algoritmus o A kitevket megvizsgljuk: csak azonos kitevj szmok adhatk ssze. o Amennyiben a kitevk nem egyenlk, akkor o A kisebb kitevj szm mantisszjnak trtpontjt balra lptetjk, s kzben inkrementljuk a karakterisztika rtkt o A ciklus addig fut, amg a kitevk meg nem egyeznek. o Mantisszkat sszeadjuk, karakterisztikkat vltozatlanul hagyjuk o Normalizls szksg esetn (els rtkes jegy el tesszk a pontot)

Szorzs
X = A*B = (mA)*(mB)*rkA+kB Algoritmus: A mantisszkat sszeszorozzuk, karakterisztikkat sszeadjuk

Oszts
X = A/B = (mA)/(mB)*rkA-kB Algoritmus: Mantisszkat elosztjuk, karakterisztikkat kivonjuk egymsbl

Konkrt megvalsts
Lehetsgek: - Univerzlis vgrehajt egysg, mveletvgz - Dediklt vgrehajt egysgek

- 17 -

llamvizsga 2010. jnius 29. - Univerzlis vgrehajt egysg, mveletvgz 1. ltalnos cl ALU parcilsval (rszekre bontsval) Mantissza eljele karakterisztika mantissza

Ksztette: Flix

A vezrlrsz bonyoltst eredmnyezi

2. Szervezsi megolds: Kln, egyms utn elvgezzk a mveleteket a mantisszn s a karakterisztikn => kln regiszterekben troldnak mveletvgzs kzben, majd a mvelet utn kzs regiszterekben egyestjk. - Dediklt:

Dediklt megolds jellemzi: Mg a mantissza egysgnek szorozni/osztani is kell tudni, a karakterisztika egysgnek elg sszeadni/kivonni, ezrt az utbbi egyszerbb A mantissza s a karakterisztika egysg prhuzamosan is mkdhet (ekkor a mantissza egysg jelenti a szk keresztmetszetet a szorzs/oszts miatt, teht azt kell igen gyors vgrehajtsra tervezni).

- 18 -

llamvizsga 2010. jnius 29.

Ksztette: Flix

SzA8. Vezrlegysg (az ramkri vezrlegysg s a mikrovezrl jellemzinek szembelltsa. Az ramkri vezrlegysg megvalstsa s mkdse) Processzor = Mveletvgz egysg + Vezrlegysg. Centralizlt (szekvencilis) vezrls: ramkri (huzalozott) vagy Mikroprogramozott vezrls Decentralizlt (prhuzamos) vezrls: Futszalagos vagy Szuperskalr vezrls A decentralizlt vezrls centralizlt vezrlsi elemekbl pl fel. Az ramkri vezrlegysg s a mikrovezrl jellemzi: ramkri vezrls Htrnyai: - ember szmra nehezen ttekinthet - merev, nehezen mdosthat Elnye: igen gyors Elve: Egy forrsregiszter tartalmt a mdost ramkrn keresztl egy clregiszterbe vezetjk. Mdost ramkrk: inkrementls, lptets, invertls, sszeads, komparls, stb. Mikrovezrls: Clja: - az ember szmra ttekinthetv tegye a vezrlst = mikroutastsok definiltak, melyek meghatrozott vezrlvonalat vagy vezrlvonalakat aktivlnak = mikroutastsok sorozata szolgl egy-egy gpi kd utasts vgrehajtsnak elemi szint vezrlsre = a hagyomnyos Neumann-fle makroszmtgpen bell rtelmeznk egy mikroszmtgpet, mely sajt mikroutastskszlettel rendelkezik - a vezrlrsz rugalmass, knnyen mdosthatv alaktsa = a mikroprogram a mikroprogramtrban helyezkedik el, gy az mdosthat - mikroutasts pldul: MDR [MAR] A jellemzk szembelltsa: ramkri (huzalozott) ttekinthetsg Sebessg Mdosthatsg Ember szmra nem ttekinthet Mindig gyorsabb Merev, nehzkesen mdosthat Mikroprogramozott Ember szmra ttekinthet Mindig lassabb Mikroprogramcsere lvn cserlhet

- 19 -

llamvizsga 2010. jnius 29. Az ramkri (huzalozott) vezrlegysg megvalstsa s mkdse:

Ksztette: Flix

Mkds: - a vezrl a forrsregiszter kimen kapujt s a mdost ramkr bemen kapujt megnyitja - a forrsregiszter tartalma tmsoldik - elrja a mdost ramkr szmra, hogy milyen mdostst hajtson vgre (pl. inkrementls, lptets, stb.) - a mdostott ramkr kimen kapujt s a clregiszter bemen kapujt megnyitja - az eredmny tmsoldik Egy mai tipikus processzorban tbb szz vezrlsi pont van (kapuk, stb.. amit vezrelni kell) Megvalstsa:

Forrs- s clregiszterek, amelyek a vezrlsben rszt vesznek: - ALU pl. AC, ltalnos cl regiszterek - Vezrlsn IR, PC (vezrl regiszterei) - Memrival kapcsolatosak MAR, MDR - I/O regiszterek vezrlkrtyban (parancsregiszterek, adatregiszterek, llapotregiszterek)

- 20 -

llamvizsga 2010. jnius 29. SzA9. Flvezets trak (jellemzik; csoportostsuk) Operatv trknt a flvezets trak eltt ferrit trakat alkalmaztak Jellemzk:

Ksztette: Flix

Flvezets memrik elnyei a tbbi trral szemben: Mkdsi sebessg: nagy, ~10 ns nagysgrend (winchester: ms) Kapacits: manapsg 4 GB. A mkdsi sebessg s a kapacits szksges, de nem elgsges felttel, hogy egy eszkz elterjedjen. Tmeggyrthatsg: gazdasgos elllts => kedvez r. Pl. mgnes buborkos trak => 60-as vekben IBM fejlesztette ki, gyors volt, nem volt benne mozg alkatrsz. Azonban nem lehetett gazdasgosan gyrtani. Megbzhatsg: manapsg termszetesnek vesszk. Energiaigny: nagysgrendekkel kisebb, mint a korai tpusoknl. Helyigny Modul rendszer => bvthet Az operatv tr s a CPU azonos technolgival kszl => nem kell illeszts Tp Kikapcsolskor tartalmt elveszti. Informci krs ignye lp fel a tr fel, valamennyi id mlva megjelenik a krt informci, azonban ezek nem egyms utn kvetkeznek. Az informcikrs kiadsa utn van egy bizonyos id, miutn megkapjuk a krt informcit. Ezutn pedig van egy bizonyos id, miutn kiadhatjuk jbl az informcikrst. A hozzfrsi id: a krs s a megjelens kztti id A ciklusid: a kt krs, trhozzfrs kztti id Csoportostsuk: Flvezets trak

Soros elrs

Szabadon cmezhet

Tartalommal cmezhet

R/W

R/W

R/W

Statikus

Dinamikus Statikus Statikus

Statikus

RAM = Random Access Memory ROM = Read Only Memory

- 21 -

llamvizsga 2010. jnius 29.

Ksztette: Flix

Hozzfrs szerinti csoportosts: Soros elrs => FIFO s LIFO elrendezs trak. Az adatokhoz szekvencilisan frnk hozz, nem pedig tetszleges sorrendben. Olvashatk/rhatk. Az informcitrols statikus. Szabadon cmezhet cmtl fggetlenl el lehet rni az adatokat. Mindegy, hogy a tr melyik rszn tallhat az adat, az elrsi jellemzi ugyanazok. Vannak Olvashatk/rhatk => ezek informcitrolsa lehet dinamikus s statikus (RAM), s vannak csak Olvashatk => statikus (ROM) Tartalommal cmezhet tr asszociatv tr. Olvashatk/rhatk. Statikus informcitrols. Statikus RAM => SRAM. Flip-Flop trolja el az informcit. Sebessgk 1-3 ns. Dinamikus RAM => DRAM. Az informcit kondenztorban troljk el. Lassabb az SRAM nl. Kisebb a fogyasztsa. Kevesebb elembl ll => nagyobb az elemsrsg: ugyanannyi tranzisztorbl nagyobb trat lehet elkszteni => kisebb lesz az ellltsi kltsg. A kondenztor miatt kisebb lesz a sebessg a msik eszkzhz kpest DRAM rtkelse: Ez a technika lassabb, olcsbb, nagyobb kapacits eszkz kialaktst teszi lehetv, szemben az SRAM -al, amiben tbb tranzisztor van, gyorsabb, relatve drgbb s kisebb kapacits.

Az elemsrsg-, fogyaszts-, sebessg- s rjellemzknek a kvetkezmnye: az SRAM-ot specilis, kis kapacits igny helyeken hasznljk (videokrtya, processzor, cache trak, regisztertr, winchesterben buffer trnak => nagy s alacsony sebessg eszkz sszekttetse, asszociatv tr) a DRAM -ot az operatv tr kialaktsra hasznljk.

- 22 -

llamvizsga 2010. jnius 29.

Ksztette: Flix

SzA10. Megszaktsi rendszer (fogalma; megszaktsi okok; a megszakts folyamata; az egy- s a tbbszint megszaktsi rendszer) Fogalma: A szmtgp mkdse kzben igen gyakran kvetkeznek be olyan esemnyek, amelyek a feldolgozs szempontjbl vratlanak tekinthetk. Ezek a vratlan esemnyek a megszaktsok (interrupt). Ezen megszaktsok kezelsre szolgl a megszaktsi rendszer. Folyamata:

Az adott utastsok vgrehajtsa utn a processzor megvizsglja, hogy jtt e megszakts. Ha nem jtt, folytatja a kvetkez utastst. Ha jtt, meg kell vizsglni, hogy elfogadhat-e. Ha pldul magasabb priorits megszakts van folyamatban, akkor nem fogadjuk el. Ha elfogadhat, kvetkezik az analzis. Meg kell nzni, mi okozta a megszaktst, s mik azok a felttelek, hogy ki lehessen szolglni. Ezutn kvetkezik az llapot mentse. Clja, hogy a megszaktott programhoz vissza lehessen trni. Ezt kveti a kiszolgls, a megszakts oknak a megszntetse. Vgl az llapot visszalltsa s a visszatrs. Az llapotok rszletezse az rai jegyzetben.

- 23 -

llamvizsga 2010. jnius 29.

Ksztette: Flix

Megszaktsi okok prioritsi sorendben: 1. Gphibk azonnal le kell kezelni: az egsz rendszerre hatssal van! Jellemzen HW hibk Az egyes eszkzk maguk ismerik fel a hibkat valamilyen hibajelz (pl. parits) vagy hibajavt kddal. - CPU regiszterei - Operatv tr - OPT - Adattvitel Energiaellts Klimatizcis hiba (hts) 2. I/O forrsok: Perifrik megszakts krse (CPU-n belli), pl.: Nyomtat: CPU => tvitel igny => nyomtat CPU <= megszakts <= nyomtat CPU => adattvitel => nyomtat A CPU jelez a nyomtatnak, hogy szeretne nyomtatni. A nyomtat, amikor tud nyomtatni, megszaktst kld a CPU-nak, hogy jhet a megfelel adat. Akkor nem tud, a pldul nyomtat. Vgl a CPU tkldi a megfelel adatot a nyomtatnak. Ilyen esetekben a megszakts sebessgbeli klnbsgekbl ered problmkra is megoldst jelent. 3. Kls forrsok: Kls eszkzk ltal generlt megszaktsok. Pl.: hlzati kommunikci 4. Programozsi forrsok: utasts vgrehajtsakor Szndkos rendszerhvs. Az opercis rendszer funkciit, vagy a BIOS funkcikat rendszerhvsokon keresztl lehet elrni, ezek is megszaktsi mechanizmuson keresztl mkdnek. Pl: INT 21h, a tnylegeshez hasonl. Hiba Memriavdelem megsrtse: Minden program, ami betltdik, sajt memria cmteret kap, ami vdve van a tbbi programtl. Ha egy alkalmazs olyan cmtrre szeretne hivatkozni, ami nem hozz tartozik, akkor ilyen hiba keletkezik. Tnyleges trkapacits tlcmzse Cmzsi elrsok megsrtse: Egyes esetekben meg van hatrozva, hogy bizonyos adatok cmhatrai illeszkedjenek e 2, 4, 8 -al oszthat cmhatrra. Pl. C nyelvekben lehet ezt megmondani, hogy egy adatstruktra milyen cmhatrokra illeszkedjen. Ennek elnye, hogy a processzor az adott cmhatrra illeszked memria rszeket gyorsabban elrhet a memribl. Abban az esetben, ha valamilyen elrs van, hogy az utastsok pl. 4 byte -os cmen kezddhetnek s a processzor egy pratlan cmre szeretne hivatkozni, ott szeretne utastst vgrehajtani, akkor ebbl hiba keletkezik. Aritmetikai, logikai mveletek - 24 -

llamvizsga 2010. jnius 29. Megszaktsi rendszer szintek szerint Egyszint egyszer, nem nagyon hasznljk. Tbbszint

Ksztette: Flix

Egyszint Kt szint van: a norml s a megszaktsi. 3 fle priorits: 0,1,2. Legmagasabb a 0. Plda: 1. fut a program a norml szinten 2. jn egy 1-es, 2-es szint megszakts. A megszaktsi rendszer eldnti melyik a magasabb priorits, s azt engedi rvnyre jutni. 3. Kzben jn egy 0-s priorits. Azonban mivel kt szint van, ezrt az 1-est nem tudja megszaktani. 4. Befejezdik az 1-es kiszolglsa, majd a megszaktsi rendszer kirtkeli milyen megszaktsok vrnak: 0 s 2. A 2-es csak a vgn kerl lekezelsre. A problma, hogy a 0-s megszakts nem jut szhoz azonnal, hiba magasabb a prioritsa. Tw idt kell vrnia, mivel az 1-es megszaktst kiszolgl utastsokat nem tudja megszaktani. Tbbszint Tbb szint s tbb priorits van. Plda: 1. 2. 3. 4. Jn egy 1-es, 2-es megszakts, elkezddik az 1-es kiszolglsa. Jn a 0-s szint megszakts, ami megszaktja az 1-es szintt. Amikor befejezdik, akkor folytatdik az 1-es megszakts kiszolglsa. Ennek vgeztvel kvetkezik a 2-es lekezelse.

Valsgban sokkal tbb megszakts van. Ezzel a szisztmval akkor tudnnk ket lekezelni, ha annyi szint lenne, ahny megszakts. Ez drga s bonyolult lenne. Tbbszint, tbb vonal Vannak prioritsok, osztlyok, az osztlyokon bell alprioritsok. Plda: 1. 2. 3. 4. Jn egy 1/a, 2/a, 2/b megszakts, ebben az esetben az 1-es fog szhoz jutni. Kzben jn egy 0/a, ami magasabb, az megszaktja 1/a-t. Befejezdik a kiszolglsa 0/a-nak. Jn az 1/b ami szinten bell van 1/a-val. nem tudja megszaktani 1/a-t, gy csak akkor jut szhoz, ha az befejezdtt. 5. Ezutn jn az 1/b. Ha ez is befejezdik akkor jut szhoz a 2/a s 2/b. Maga a szisztma egy tbb szint megszakts, de szinteken bell gy viselkedik, mint egy egyszint. Ez a mdszer az elz kett tvzete. Adott osztlyon belli megszakts nem tudja flbeszaktani az eredetit. (A magyarz brk megtallhatak az rai jegyzetben) - 25 -

llamvizsga 2010. jnius 29.

Ksztette: Flix

SzA11. Kls snrendszer (fogalma; jellemzi; csoportostsa, a snfoglals (bus arbitration) mdjai; az adattvitel s felgyelete (szinkron, aszinkron)) Fogalma: - Fizikai rtelemben: olyan vezetkkteg, melynek minden egyes ern egyidejleg = vagy a logikai nullnak megfelel ~0V = vagy a logikai egyesnek megfelel ~ 12V; 5V; 3,3V; 2,8V, vagy vltoz feszltsg jelenhet meg (felhasznlt programtl fgghet, pl. gpels vagy filmnzs). - Funkcionlis rtelemben: olyan vezetkkteg, mely biztostja, hogy a forrsbl a clba egyidejleg, azaz prhuzamosan n (16, 32, 64) db. bit juthasson el. Ebben a kontextusban a sn alatt nem csupn a vezetkeket rtjk, hanem a snfoglalst, valamint az adattvitelt biztost intelligencit is. Jellemzi: - snszlessg (vezetkek szma) - tipikusan megosztott (shared) eszkz: - minden vezetke egy idpillanatban csak egy bitnyi informcit tovbbt - regiszter-tulajdonsggal rendelkezik. rtelmezett a kvetkez: databus r0 r1 databus Csoportostsa: tbbfle mdon lehetsges. Adattvitel irnya szerint: szimplex, flduplex, duplex. Az tvitel jellege szerint: dediklt vagy megosztott Az tvitt tartalom alapjn: cmsn vagy adatsn Az sszekapcsolt terletek alapjn: processzorsn (rendszersn) vagy bvtsn (Rszletesen kifejtve az rai jegyzetben) Snfoglals: Soros snfoglals: - Hardver polling (daisy chain gyermeklncf) hardveres lekrdezses Megvalstsa: rai jegyzetben. Elnyei: Kevs vezrlvonal olcs (egyszer a megvalstsa) Elvben vgtelen szm egysget csatlakoztathatunk Htrnyai: A priorits hardver ton szablyozott Az elrbb lv egysgek elnyomhatjk a htrbb lvket A bus grant meghibsodsra rzkeny 1. bus request aktivlsa (ha bus busy aktv, akkor vr, ha nem, bus grant) 2. U1 tengedi, U2 aktivlja a bus busy -t 3. adatot kld, bus busy deaktivlsa

- 26 -

llamvizsga 2010. jnius 29.

Ksztette: Flix

- Szoftver polling A szmll algoritmus alapjn szltja meg az egysgeket (pl. ott folytatja, ahol abbahagyta) Megvalstsa: rai jegyzetben. Elnyei: A priorits szoftver ton szablyozott rugalmas Kevsb rzkeny a bus grant vonal meghibsodsra (cmvonalak) Htrnyai: Sok vezrlvonal drga A csatlakoztathat egysgek szmt a bus grant vonalak szma hatrozza meg pl. 3 vezetk esetn 23 = 8 db egysg Prhuzamos snfoglals Megvalstsa: rai jegyzetben. Elny: igen gyors Htrny: tbb vezetk kell hozz drgbb (bonyolultabb vezrls) Rejtett snfoglals: - kt fggetlen hardver vgzi a snfoglalst s az adattvitel vezrlst - lehetsg van arra, hogy amg az elz tvitel zajlik, a kvetkez egysg kivlasztsa megtrtnhessen. Amint az adatsn felszabadul, azt tadja. Pl. PCI bus Az adattvitel lehet szinkron s aszinkron mkds. Szinkron adattvitel: Fogalma: az adattvitel mind az ad(forrs), mind pedig a vev (cl) szmra egy elre ismert idintervallumban trtnik, ezt az rajel biztostja

ratem-ad: mind az ad, mind a vev egy kzs rajel-adtl kapja az rajelet: Akkor alkalmazzk, ha kicsi a tvolsg az ad s a vev kztt mind az ad, mind a vev sajt ratem-adval rendelkezik, melyek azonos frekvencijak. Ekkor meghatrozott idkznknt a mkdsket szinkronizlni kell szinkronjel rtkelse: Elnye: Olcs az ellltsa, mert egyszer Htrny: az tvitel sorn elre ismert intervallum hosszt mindig a leglassabb egysg hatrozza meg ez visszafogja a gyors egysgeket (HDD, monitor, CPU). Ez kikszblhet tbbszint snrendszer alkalmazsval, ahol tviteli sebessg tartomnyonknt csoportostjk az egysgeket A PC-n bell a szinkron tvitel dominl (ISA - 16 bit, EISA, PCI 32/64 bit, AGP)

- 27 -

llamvizsga 2010. jnius 29. Aszinkron tvitel Fogalma: Fajti:

Ksztette: Flix

az adott elemi mvelet befejezdse egyben jelzs a kvetkez elemi mvelet kezdetre. egyvezetkes (egy vezrlvezetkes) - ad oldali vezrls: Felteszi a snre, jelzi, vr, leveszi. rtkels: az adnak nincs visszacsatolsa az adat clba rkezsrl. (lehet, hogy a vev vek ta rossz) - vev oldali vezrls vev kldi a vezrljelet Vev krsre trtnik az adatklds. rtkels: az elznl megbzhatbb, hiszen a vev a krs pillanatban aktv Az egy vezetkes tvitel htrnya: Az ad nem kap visszacsatolst az adat clba rkezsrl. ktvezetkes vagy handshake (kzfogsos) tvitel - ad oldali vezrls tvitel folyamata: Az ad felteszi az adatot az adatsnre, aktivlja a Data ready vonalat, a vev az adat elolvassa utn aktivlja a Data ack vonalat, majd az ad visszaveszi az adatot. Ezutn az ad a Data ready -t, a vev pedig a Data Ack -t deaktivlja (a jegyzetben hibsan szerepel: Request helyett Ack vonal!) - vev oldali vezrls Az ad visszacsatolst kap az adat clba rkezsrl megbzhat tvitelt biztost klnbz sebessg eszkzk esetn is

A magyarz brk az rai jegyzetben szerepelnek. SzA12. A processzor rszvtelvel zajl I/O rendszer (a programozott I/O, a klnll I/O cmtr s az I/O port; a memriban lekpezett I/O cmtr; mkdse (felttlen s feltteles)) Az I/O rendszer fogalma: A processzor-memria egyttest a klvilggal sszekapcsol rendszer. A programozott I/O fogalma: Minden egyes I/O mvelethez a processzor egy-egy utastst hajt vgre. 1. Klnll I/O cmtr Elve: A CPU kt klnll cmteret lt: RAM s I/O cmtr. Jellemzi: - ugyanazon a cmsnen keresztl haladnak a memriacmek s az I/O cmek is (rendszersn) - ltezik egy M/I/O vezrlvezetk, mely megmondja, hogy az adott idpillanatban memria- vagy I/O cm van a cmsnen. - mivel kt klnll cmtrrl van sz, ugyanaz a cm szerepelhet memriacmknt s I/O cmknt is. - Intel esetben a 32 bites cmsnnek az I/O egysg cmzsre szolgl rsze 16 bit hossz => 2^16=65536 fle I/O cm adhat ki. - 28 -

llamvizsga 2010. jnius 29.

Ksztette: Flix

I/O port: Azok regiszterek, amelyeken keresztl a processzor a perifrikkal kommuniklhat. Az I/O port fizikailag az I/O vezrlegysgben helyezkedik el. Az I/O port regiszterei: Parancs (Command) regiszter: ebbe rja a processzor a kvnsgait Adatregiszterek: bemeneti s kimeneti (a CPU szemszgbl, a msik fl a perifria) llapot (status) regiszter: innen olvassa a processzor a perifria zeneteit A mai gyakorlat: egy-egy kzs regiszterben valstjk meg a parancs- es llapot regisztert, valamint a kt adatregisztert. Napjaink tovbbi regiszterei az I/O porton bell: Az eszkz jelenltt jelz regiszter Az eszkz tulajdonsgait tartalmaz regiszter (plug&play) Lehet tbb regiszterkszlet is A klnll I/O cmtr rtkelse: Elny: egyszer, olcs a megvalstsa Htrny: A processzor reszt vesz a kommunikciban Az AC szk keresztmetszetet jelent nagy tmeg I/O szmra Ezt az eljrst minden mai architektra alkalmazza (pl. billentyzet, soros s prhuzamos port). Az IBM PC -nl klnll I/O cmtrrel csatlakozik a hlkrtya. 2. A memrira lekpzett I/O Elve: CPU RAM Perifria

Jellemzi: Megoszts: a processzor memriakezel utastssal (load/store) ri el azt a kzs memriaterletet, amit a perifria is kezelhet A perifrinak hozz kell frnie a rendszersnhez igen gyors tviteli sebessg rtkelse: Elny: igen gyors (a klnll I/O cmtrnl sokkal gyorsabb) Htrny: tovbbra is a CPU -nak kell utastsokat vgrehajtani az I/O mveletnl Minden mai architektrban megtallhat. Plda: a PC krnyezetben kperny (video) kezels - 29 -

llamvizsga 2010. jnius 29. A programozott I/O mkdse: Felttlen tvitel: a vev mindig vtelre ksz llapotban van nem ellenrizzk az tvitel sikeressgt nincs szinkronizls az ad s a vev kztt Nem egszen biztonsgos tvitel Pldul: LED Feltteles tvitel:

Ksztette: Flix

lekrdezses vagy wait for flag 1. az els lps sorn a processzor berja kvnsgt az I/O port parancsregiszterbe 2. a processzor kiolvassa az I/O vezrl llapotregiszternek tartalmt 3. amennyiben nem ready, akkor vissza a 2. pontba 4. amennyiben ready, akkor a processzor kiolvassa az I/O vezrl adatregiszternek tartalmt, s azt eljuttatja az AC -ba Mivel a processzor s a perifria sebessge kztt igen nagy klnbsg lehet, a 2. s 3. pont olvassi ciklusa akr tbb milliszor is feleslegesen fut, pazarolja a CPU idt megszaktsos tvitel 1. a processzor berja kvnsgt az I/O parancsregiszterbe s elkezd mst csinlni 2. az I/O egysg gondoskodik arrl, hogy a kvnt perifrirl a kvnt adat bersra kerljn az I/O port adatregiszterbe, s ekkor az llapotregiszter ready bitjt belltja, tovbb megszaktsjelzst kld a processzor fel 3. a processzor a kvetkez utasts-trspontban szleli a megszaktst s forrst: kiolvassa a megszakt I/O port llapotregiszternek tartalmt mivel ott a ready bit be van lltva, ennek megfelel megszaktsfeldolgoz programot indt el; ez kiolvassa az I/O port adatregisztert s tartalmt tviszi az AC ba.

A klnll I/O cmteres s a memrira lekpzett I/O cmteres mdszerek megvalstst az rai jegyzetben tallhat brk mutatjk be.

- 30 -

llamvizsga 2010. jnius 29. SzA13. A kzvetlen memria-hozzfrs (DMA) (fogalma; megvalstsa; mkdse: blokkos s cikluslopsos zemmd)

Ksztette: Flix

Fogalma: nagy tmeg adat gyors perifria alkalmazsval trtn tvitele, a processzor kzremkdse nlkl Megvalstsa:

Mkdse: Elkszts: A DMA vezrl felprogramozsa: programozott I/O-val tvisszk a processzorbl a DMA vezrlbe az tvitelhez szksges informcikat (mit, honnan, hov kell vinni): a DC -be berjuk az tviend adategysgek szmt IOAR -be berjuk az adat leend memriabeli kezdcmt, tovbb: az egysget (byte, flsz, sz) az tvitel irnyt a perifria cmt az tvitel jellegt blokkos vagy cikluslopsos mdon a rsztvev egysgeket (memria - I/O, memria - memria vagy I/O I/O tvitel)

- 31 -

llamvizsga 2010. jnius 29.


Mkds blokkos (burst) tvitel esetn (pl. winchester esetn):

Ksztette: Flix

A CPU felprogramozza a DMA vezrlt (1-3) a DMA vezrl DMA Request jelzst kld a processzornak: kri a rendszersn hasznlati jogot (4) a processzor DMA Acknowledge jelzssel lemond a rendszersn hasznlati jogrl (5) a DMA vezrl a kapott adatok alapjn a perifrirl berja az els tviend adatot az IODR -be (6) a DMA vezrl az IODR -ben lv adatot a rendszersnen keresztl berja az IOAR ltal meghatrozott memriacmre (7-9) a DMA vezrl dekrementlja a DC-ben trolt rtket, s inkrementlja az IOAR -ben trolt rtket (egy adategysggel nvel 1,2,4 byte) (10) DMA ellenrzi a DC tartalmt. Ha nem 0, vissza a (6) -ra, ha igen, megszaktskrssel jelzi a CPU fel, hogy befejezdtt egy blokk tvitele (pl 3200 byte lehet egy HDD nl)
(Elfordulhat, hogy az rn ms szmozssal szerepeltek a lpsek, de a sorrend elvileg helyes)

Mkds cikluslopsos (cycle stealing) tvitel esetn: pl. gyorsnyomtat: karakteres szervezs adat kezelse esetn

Nincs rtelmezve a cmgenerls Mg az utasts-trspontban a megszakts feldolgozssal a CPU ra tovbbi munka vrhat, addig a DMA trspontban a DMA vezrl a processzor helyett vgezhet munkt Ez a processzor s a DMA vezrl ltali idosztsos rendszersn hasznlat Elve: utasts-feldolgozs felbontsa pl. a kvetkez lpsekre: lehvs, dekdols, operandusok lehvsa, vgrehajts, visszars a memriba

- 32 -

llamvizsga 2010. jnius 29. SzA14. Az egyes alkotelemek sszeraksa (egy hipotetikus szmtgp tervezse s mkdse)

Ksztette: Flix

Egy hipotetikus szmtgp tervezse Jellemzi korltai: o Minden utasts kt byte hossz, ebbl a cmrsz 1 byte a cmtr: 256 cm Mveleti Kd Cmrsz

1 byte 1 byte o Csak processzorbl s memribl ll (nincs kapcsolat a klvilggal, az adatok valahogy bejutottak a memriba) o Utastskszlet: ADD 100 AC:=AC+100 ADD[100] AC:=AC+MEM[100] INC AC:=AC+1 NUL AC:=0 LOAD[100] AC:=MEM[100] STORE[100] MEM[100]:=AC JUMP120 PC:=120 Processzor o PC MAR
Cmsn

ALU

Memria MDR

IR

DEC

AC

Utasts lehvs (Fetch) MAR PC MDR (MAR) IR MDR PC PC+1 PC tartalma: 100 102 104 106 108

Ehhez hasonlan a tbbi mveletet is ki kell tudni fejteni, pl. Load, ADD, felttlen vezrlstads Adatsn 2-vel inkrementldik itt a PC Vezrl rsz

LOAD[200] ADD[201] STORE[202] NUL JUMP 150

Mveletvgz rsz

(Ac 0) (108 -rl 150 -re trjuk a PC -t) - 33 -

llamvizsga 2010. jnius 29.

Ksztette: Flix

III. Korszer mikroarchitektrk (fizikai architektra)


SzA15. Szmtgp architektrk osztlyozsa (Flynn-fle, illetve korszer osztlyozs) Architektrk osztlyozsa Flynn -fle osztlyozs: Fogalmak: o SI Single Instructions: a vezrl egyetlen utastsfolyamot bocst ki o MI Multiple Instructions: a vezrl tbb fggetlen utastsfolyamot bocst ki o SD Single Data: egyetlen utastsfolyamot dolgoz fel o MD Multiple Data: A vgrehajt egysg tbb utastsfolyamot dolgoz fel Ezek kombincii

SISD
hagyomnyos szekv. feldolgozs

SIMD
multimdia feldolgozs

MISD
elmleti kategria rtelmetlen

MIMD
prhuzamos feldolgozs

rtkels: - elnye: egyszer s jl tlthat - htrnya: nem mutatja meg a prhuzamossg fajtjt, szintjt s a kihasznlsnak mdjt Prhuzamos architektrk javasolt csoportostsa Adatprhuzamos architektrk Funkcionlisan prhuzamos architektrk

Vektorproc. Neurlis

SIMD Szisztolikus

Utastsszinten prhuzamos architektrk

Szlszinten prhuzamos

Folyamatszinten prhuzamos

Futszalag

(Very Long Instruction Word)

VLIW

Szuperskalr

shared distributed memory memory

2000 ig szuperskalr, utna szlszinten prhuzamostottak.

- 34 -

llamvizsga 2010. jnius 29. SzA16. Adatfggsgek (fogalma, fbb fajti, teljestmny-korltoz hatsa)

Ksztette: Flix

Fogalma: Az utasts kibocstsa nem trtnhet meg addig, amg nem ll rendelkezsre az sszes operandus. Pldul: az egymst kvet utastsok ugyanazt az adatot hasznljk. Fbb fajti:

(Read After Write, Write After Read, Write After Write) Mveleti adatfggsg: Ha pldul egy mvelet eredmnyt akarjuk felhasznlni: I1: ADD r3r2r1 s I2: SHL r3 A problma kezelse: operandus elrehozssal, extra HW (regiszter) segtsgvel. Ehhez a CPU nak rzkelnie kell, hogy az adatra mg szksg lesz, gy az ALU ba is visszavezeti azt, az eredeti r3 cm mellett. Ez vals adatfggsgnek tekinthet, csak mrskelni tudjuk, kikszblni nem. Minden mai processzor hasznlja. Lehvsi adatfggsg: A CPU a gyorsttrbl a regisztertrba szlltja az adatot. A CPU rzkeli, hogy erre neki is szksge lesz, ezrt extra HW -vel operandus elrehozs. Ez is vals adatfggsg, az elzhz hasonl mdon csak mrskelni lehet a hatst. WAR: Egy lassabb mvelet forrsoperandust fellrhatja egy ksbbi gyorsabb utasts eredmnye, ha ugyanaz a regiszter szerepel mindkt helyen. Megolds: regiszterek tnevezse. A valsgban a CPU minden eredmnyregisztert tnevez (tmeneti reg. kszlet) WAW: Kt egymst kvet utastsnak ugyanaz az eredmnyregiszter van kijellve. Megolds: szintn regisztertnevezssel. Trtnhet statikusan s dinamikusan is. Ciklusbeli adatfggsg: Egy ciklusmagon bell pl. a x(i):=A(i)*x(i-1)+B(i) utasts esetn (ahol i a ciklusvltoz) nem tudunk tovbblpni az x(i-1) kiszmolsa nlkl. Ers a fggs, ezt az algoritmus talaktsval lehet feloldani.

- 35 -

llamvizsga 2010. jnius 29.


SzA17. Vezrlsfggsgek s teljestmny korltoz hatsuk cskkentse

Ksztette: Flix

(vezrlsfggsgek fogalma, teljestmny korltoz hatsa s annak cskkentse, a felttlen vezrlstads, a statikus s dinamikus elgazsbecsls, valamint a spekulatv elgazskezels elve) A vezrlsfggsg fogalma: Az utastsok vgrehajtsa fgg annak krnyezettl, akr felttlen, akr feltteles vezrlstads elzi meg azokat. Feltteles vezrlstads esetn a felttel kirtkelsig nem lehet megmondani, melyik irnyban halad tovbb a vgrehajts; a kvetkez utasts cme fgg a feltteltl. A felttlen vezrlstadsnl az a problma, hogy feleslegesen hvunk le utastsokat, hiszen biztosan nem ott folytatjuk a vgrehajtst, emellett a regiszterek tartalmt is veszlyeztetjk A vezrlsfggsgek teljestmnykorltoz hatsa s annak cskkentse: Teljestmnykorltoz hats: Felttlen elgazs esetn a feleslegesen vgrehajtott utastsok szma a futszalag fokozatainak szma -1, teht n fokozat esetn n-1. Ezt nevezzk ugrsi rsnek (bubork). Feltteles elgazs esetn, ha a felttelben szerepl adat mg nem ll rendelkezsre, a feleslegesen vgrehajtott utastsokon kvl tovbbi idt is ignybe vehet a kirtkels. A teljestmnykorltoz hats cskkentse: Felttlen elgazs esetn fel kell tlteni az ugrsi rst. Ennek statikus kezelse abbl ll, hogy a buborkot feltltik NOP okkal. Ezzel szintn felesleges mveleteket vgznk, de a regisztertartalmakat nem veszlyeztetjk. A dinamikus mdszert az optimalizl compiler ek alkalmazzk, itt az utastsszekvencit mdostjk olyan mdon, hogy az adatmanipull utastsok ne az elgazsi utasts eltt, hanem azt kvesse, gy nem vlik feleslegess a vezrlstad utastsok vgrehajtsa. Feltteles elgazsi utastsok esetn beszlhetnk ugrsi irny becslsrl, az ugrsi cm elrsnek gyorstsrl, illetve feloldatlan elgazsok kezelsrl (spekulatv elgazsbecsls) A statikus elgazsbecsls: a becsls a programkd alapjn trtnik, a compiler ltal. Az els genercis szuperskalrok alkalmazzk. A ciklusokat jl ismeri fel, ezltal hatkony. A dinamikus elgazsbecsls: a hardver feladata az elgazsbecsls megoldsa. Ugrstrtnet alapjn trtnik a becsls extra eszkzk segtsgvel. Plda: Pentium I (egyszint), Pentium Pro, Pentium MMX (ktszintek). A spekulatv elgazsbecsls: a feloldatlan elgazsok kezelse Feloldatlan (vagy fgg) elgazs fogalma: ha a felttel kirtkelse valamilyen nagy ksleltets mvelet eredmnytl fgg. Futszalag s els genercis szuperskalrok esetn blokkol. - 36 -

llamvizsga 2010. jnius 29.

Ksztette: Flix

A fgg elgazsok kezelse: az alapblokkokat kiterjesztjk virtulis alapblokkokk. Egy virtulis alapblokk tbb alapblokkbl ll. A folytatsi irny megbecslse (lsd korbban!) utn lehvjuk az utastsokat, majd a felttel kirtkelsekor vagy eldobjuk a lehvott s vgrehajtott utastsokat, vagy pedig folytatjuk a vgrehajtst. Ez akr tbb tucat utasts feldolgozst is jelentheti, azok vglegestse a ROB segtsgvel trtnik meg, amennyiben a felttel kirtkelse megersti a becslst. Alapvet mutat: a spekulci mlysge. Annl tbb utasts lehet a vrakoztat llomsban, minl mlyebb a spekulci, ugyanakkor, ha mgis rossz a becsls, annl tbb utastst kell eldobnunk. A mlysg ltalban 2 s 7 kztt van. A spekulatv elgazsbecsls infrastrukturlis felttelei: tnevezsi ReOrder Buffer (a rollback hez) => msodik genercis szuperskalrok.
SzA18. Szekvencilis konzisztencia

regisztertr,

(az utasts-feldolgozs s a kivtelkezels soros konzisztencija, a precz megszaktskezels) Szekvencilis (soros) konzisztencia (ILP kkel szembeni elvrsok egyike)

Az utasts-feldolgozs szekvencilis konzisztencija Utasts-vgrehajts Mvelet-konzisztencia Behvs Memria-konzisztencia

Kivtelkezels szekvencilis konzisztencija Kivtel-konzisztencia

Mvelet-konzisztencia A problma felvetse: tbb egymst kvet utasts esetn a JZ feltteles utasts kirtkelse nem egyrtelm az utastsok eltr vgrehajtsi ideje miatt. Szekvencilis vgrehajts: Elszr az els (pl. MUL), aztn a msodik (pl. ADD) utasts hajtdik vgre, a cmkre ugrs pedig akkor kvetkezik be, ha a msodik (ADD) eredmnye 0. Prhuzamos feldolgozs: A lassabb (MUL) utasts fejezdik be ksbb, teht biztostani kell, hogy a cmkre ugrs csak akkor kvetkezzen be, ha a msodik (ADD) eredmnye 0. Memria-konzisztencia: nem foglalkoztunk vele. Kivtelkezels soros konzisztencija Pontatlan kivtelkezels Gyenge konzisztencia Pontos kivtelkezels Ers konzisztencia - 37 -

llamvizsga 2010. jnius 29. Pontatlan kivtelkezels Problma felvetse: lsd az elz eset.

Ksztette: Flix

Ha prhuzamos esetben elszr az ADD fejezdik be, s tegyk fel, hogy tlcsordul: amennyiben a processzor a megszaktst soron kvl elfogadja, gyenge konzisztencit eredmnyez. A MUL utasts ekkor definilatlan llapotba kerl, nem lehet tudni, befejezdik-e, vagy sem. A megfelel llapot helyrelltsa csak bonyolult eljrsokkal lehetsges. Alkalmazsa: korai szuperskalroknl. Pontos kivtelkezels A korszer processzorok ezt alkalmazzk, azaz a megszaktst kizrlag az utastsok eredeti sorrendjben fogadja el. Megvalstsa: trendez-puffer (ReOrder Buffer, ROB) hasznlatval. A processzor csak akkor fogadja el a megszaktskrst, amikor az adott utastst kirjuk az trendez-pufferbl. Pl.: Intel processzorcsald, s a mai korszer processzorok.

SzA19. Az elgazsok vizsglata

(Az elgazsok csoportostsa, a feltteles utastsok hasznlata, a mveletek eredmnynek vizsglata az llapottr mdszerrel s kzvetlen adatvizsglattal, az elgazsi utastsok arnya az utasts-mixben, a felttlen s feltteles elgazsi utastsok arnyai, az elgazsok teljeslsi s nem teljeslsi arnyai) Elgazsok fajti: Felttlen s feltteles elgazs. Felttlen: Egyszer felttlen (GOTO, JMP), eljrshvs, visszatrs az eljrsbl Egyszer felttlen elgazsok: mindig ugrik Eljrshvs: az ugrs eltt elmentik a visszatrsi cmet (LIFO elv trols) Visszatrs az eljrsbl: felhasznlva a visszatrsi cmet Feltteles elgazsok: Cikluszr illetve egyb feltteles elgazsok (utbbi pl: CASE, IF) Elgazsok hasznlata: Kt okbl hasznljuk: Az utastsban meghatrozott mvelet eredmnyt vizsgljuk, pl.: nulla, negatv, tvitel Kt operandus sszehasonltsa: ha megegyeznek, ugrunk, ha nem, soros folytats Visszavezethetjk a mveletek eredmnynek vizsglatra, kivonjuk egymsbl ket s az eredmnyt vizsgljuk, teht gyakorlatilag visszavezettk az elz esetre A mveletek eredmnynek vizsglata az llapottr mdszerrel: - Az llapotvizsglatot a CPU automatikusan vgzi, s az eredmnyt berja felttel kdba (CC) vagy jelzbitekbe (flag) - Mkdse aktualizlsa: minden mvelet utn a CPU karbantartja az llapotteret, a megfelel biteket bebillenti a jelzbitek kzl (pl.: nulla az eredmny, tlcsorduls) ezt kveten a programoz tesztelheti a szmra szksges jelzbitet, s annak rtktl fggen ugrs, vagy soros folytats - Pldk: IBM 360/370, Intel, Motorola, PowerPC, SPARC - 38 -

llamvizsga 2010. jnius 29. -

Ksztette: Flix

rtkels Htrnyok - Ebben az esetben a tesztels tovbbi idt ignyel (prhuzamosthat) - Kiegszt hardvert is ignyel - Megszakts esetn az adattr mellett az llapotteret is le kell menteni, s ehhez az opercis rendszerre is szksg van - Alapveten szekvencilis szemllet - Prhuzamosts esetn: = Tbb jelzbit-kszletet alaktanak ki = Minden utastshoz hozz kell rendelni a megfelel jelzbit kszletet = Tesztelsnl neknk a megfelel jelzbit-kszletet kell tesztelni - Az llapottr aktualizlsa s tesztelse szekvencilis, ami vals fggsget eredmnyez. Ez visszafogja a teljestmnyt, lasst. - (A kzvetlen adatvizsglat kivlan alkalmas a prhuzamos feldolgozsra) Elnyk - A trgykd 1-7% -kal rvidebb (nem jelents manapsg) - A jelzbit (flag) vizsglata rendkvl gyors Kvetkeztets: A htrnyok slyosabbak, mint az elnyk, teht a jvben inkbb a kzvetlen adatvizsglat elterjedse vrhat

A mveletek eredmnynek vizsglata kzvetlen adatvizsglattal: Nem rtelmezett az llapottr - Fajti: Ktutastsos megolds: Az egyik utastssal tesztelnk, s az eredmnyt egy regiszterben troljuk A msik utastssal a regiszter tartalmt vizsgljuk Egy utastsos megolds Egyetlen utastsban hajtdik vgre a tesztels s a felttelvizsglat - rtkels: prhuzamos feldolgozsra is kivlan alkalmas, jvbeli fejlesztsekben vrhat az alkalmazsa Pldk az rai jegyzetben. Az elgazsi utastsok arnya az utasts-mixben: Az ltalnos cl programokban az utastsok 20% -a feltteles vezrlstads, tudomnyos-mszaki cl programok esetn ugyanez 5-10% A felttlen s feltteles elgazsi utastsok arnyai: Gromovski szerint:Felttlen elgazsok 1/3, Cikluszr feltteles 1/3, Egyb feltteles 1/3 Felttlen: 1/3 s feltteles: 2/3 Az elgazsok teljeslsi s nem teljeslsi arnyai: Forrs Fajta Teljeslt Gromovski Felttlen Mind Feltteles cikluszr n-1 (~ mind) Egyb feltteles 1/6 sszeg 5/6 Egyb I. 75% Egyb II. 57-99% Vgeredmny 75% - 39 -

Nem teljeslt

1/6 1/6 25% 1-43% 25%

llamvizsga 2010. jnius 29.

Ksztette: Flix

SzA20. Az utastsok idben prhuzamos feldolgozsnak alapvet lehetsgei

(prefetching, tlapolt utasts vgrehajts, futszalag elv feldolgozs, ebbl add szk keresztmetszetek (memriasvszlessg 15.o s elgazsok) feloldsa) A felsorolt fogalmak a futszalagos feldolgozs elzmnyei. Az utasts feldolgozst feloszthatjuk fokozatokra, erre egy plda a kvetkez: Fetch: Az utasts lehvsa Decode/Source Op.: Az utasts dekdolsa s a forrsoperandusok lehvsa Execute: Az utasts vgrehajtsa Write Back (W/B): az eredmny visszarsa. Prefetching: Ellehvs. A Fetch s a Write Back fokozatot egymstl fggetlenl el lehet vgezni, azaz az aktulis utasts eredmnynek kirsa s a kvetkez utasts lehvsa prhuzamostva van. Maximum 1 raciklust nyernk vele, amennyiben nincsenek fggsgek. Intel 80286. tlapolt utasts vgrehajts (Pipelined EUs): Az utastsok vgrehajtsi fokozatait prhuzamostjuk. Pldul a 60-as vekben az IBM 360. A lebegpontos szmolsoknl pldul prhuzamostani lehet a klnbz rszeit a mveletnek, a vektorprocesszoroknl hasznltk. Mikroprocesszoroknl ez a fejldsi lps kimaradt. Futszalag elv feldolgozs (Pipelined Processors): A teljes utasts feldolgozsi ciklus prhuzamostva van. Elvben 4 utasts feldolgozsa ciklusonknt, ezt a fggsgek akadlyozzk. Plda: Intel 80386. Szk keresztmetszetek: Memria svszlessg: A szekvencilis utasts feldolgozsnl 4 raciklusonknt trtnt memrihoz forduls, a futszalagok esetn akr ciklusonknt is trtnhet. A DRAM nem kpes ekkora sebessggel trtn kiszolglsra. A megolds: a cache megjelense, 80-as vek vgtl, pl. Intel 80486. Elgazbecsls: Fltetlen elgazsnl az ugrsi rs (bubork) mretnek megfelel szm utasts lehvst blokkolja az elgazsi utasts. Ha n a futszalag fokozatainak szma, akkor az ugrsi rs mrete n-1. Feltteles elgazs esetn ehhez hozzaddik a felttel kirtkelse s az ugrsi cm szmtsa is (1-2 raciklustl akr 50ig is tarthat). Kezelse: korai RISC eknl ksleltetett ugrs, korai CISC nl hardveresen (dekdolsi fokozatban trtnik meg a felttel kirtkelse s az ugrsi cm szmtsa), ksi CISC eknl fix elrejelzs, pl 80486. A msfeledik genercis futszalagoknl van cache, de nincs mg (fix) elgazsbecsls, a msodik genercisoknl (pl 80486) mr van mindkett. Fix elgazsbecsls: mindig ugrik.

- 40 -

llamvizsga 2010. jnius 29.


SzA21. A futszalag (pipeline) elv utasts-vgrehajts

Ksztette: Flix

(a futszalag elve; jellemzi; logikai s fizikai futszalagok) A futszalag elve: Az utastsfeldolgozsi ciklust felbonthatjuk fokozatokra, pl: F D/So E s WB (lsd korbban). Az utastsokat tlapolva dolgozzuk fel, gy br egy utastst ugyanannyi id alatt lesz feldolgozva, de raciklusonknt 1 utasts kerl vgrehajtsra. A futszalagos feldolgozs elfelttelei: a fokozatok idignye egyezzen meg, egymstl fggetlen hardverek alkossk, az egyik szakasz kimenete legyen a kvetkez bemenete, valamint mindegyik fokozat rajelre lpjen mkdsbe. Nagy utastsszm esetn elvben olyan mrtkben gyorsul a feldolgozsi sebessg, amennyi fokozatbl ll a futszalag. Ezt htrltatjk a fggsgek A futszalagos feldolgozs jellemzi: A fokozatok szma: a fokozatok szmnak nvelsvel egy id utn cskkenni fog a teljestmny a fggsgek miatt: sok lehvott utastst kell eldobni, ha rossz irnyba tettk. 80-as vekben: 2-3 fokozat, 2000-ben kb. 10, napjainkban 15-25. Nem n tovbb. Operandus ellehvs: FX szorzs/oszts esetn a rszeredmnyeket az Execute fokozat bemenetre visszavezethetjk annak kimenetrl. Extra hardverrel, lsd korbban. A processzorok napjainkban mind szinkron feldolgozssal (temezssel) mkdnek: Aszinkron esetben a feldolgozs folyamatos, amit gy valstanak meg, hogy az egymst kvet fokozatok jelzik, amikor elkszltek egy feladat vgrehajtsval s kpesek egy jabb feladat fogadsra. Szinkron esetben rajel hatsra, azonos idben kezdenek hozz a fokozatok a feladatok vgrehajtshoz, az temet a legtbb idt ignyl egysg szabja meg. A futszalagok logikai felptse: I. szint: a futszalagok funkcionlis kialaktsa: lehetleg mindegyik utastskategrihoz 1-1 cl-futszalag kialaktsa, pl. FP, FX, L/S (Load/Store), B (Branch). II. szint: egyes fokozatok ltal vgrehajtand elemi mveletek (mikroutastsok) specifiklsa Plda: lsd rai jegyzet. A futszalagok ltalnos fizikai felptse: 60-80-as vek, futszalagok: a fokozatok vgrehajt egysgei kztt elvlaszt (rejtett) regiszterek hasznlata. 90-es vek, szuperskalrok: tbb futszalagnak azonos fokozatai kzs regiszterekbl veszi az adatot (elvlaszt regiszter s multiplexer). A futszalagok fizikai felptse: Univerzlis futszalag: a hardver elemek szmt minimalizljk, de lass. 1 fizikai futszalaghoz tbb logikai futszalagot rendelnek hozz. pl RISC I. Master futszalag: a master futszalag minden utasts feldolgozsra alkalmas, a msik futszalag csak egyszer utastsokra. Pl: Pentium I. Dediklt futszalag: minden logikai futszalagot igyekeznek kln fizikai futszalagon megvalstani. Pl: PowerPC 604. Egy lehetsges megvalstst lsd: rai jegyzetben.

- 41 -

llamvizsga 2010. jnius 29.


SzA22. Els genercis (keskeny) szuperskalr processzorok

Ksztette: Flix

(kzvetlen kibocsts, vgrehajtsi modelljk, kibocstsi szk keresztmetszetk) Els genercis szuperskalrok jellemzi: Kzvetlen (nem pufferelt) utasts-kibocsts Statikus elgazs-becsls: a programkd alapjn Gyorsttrak (szk keresztmetszet miatt) o Kln adat- s utasts-gyorsttr o Ktszint gyorsttr: L1 gyorsttr: a processzor lapkjn helyezkedik el L2 gyorsttr: klnll lapkn helyezkedik el Kzvetlen kibocsts fogalma: Puffer nlkl trtnik az utastsok kibocstsa az utasts gyorsttrbl. A kibocstsi szk keresztmetszet: Mivel a kibocsts az els fgg utastsig trtnik, ezrt fggsg esetn nem mindig tudunk annyit garantlni, amekkora az utastsablak mrete. Br az utastsablak mrete RISC esetben 3, CISC esetben 2, de a feldolgozsi rta 1 utasts / raciklus alatt van a fggsgek okozta blokkolsok miatt. Ennek feloldsa a msodik genercis szuperskalrok esetn fog megtrtnni pufferek, gynevezett vrakoztat llomsok beiktatsval s regiszter-tnevezssel. Vgrehajtsi modell:

- 42 -

llamvizsga 2010. jnius 29.

Ksztette: Flix

SzA23. Els genercis (keskeny) szuperskalr processzorokra esettanulmny

(Pentium I.: megvalsts s jellemzk) Megvalsts: Utasts gyorsttr (RISC utastsok)

FP futszalag

Jellemzi: - A bels snrendszer 64 bites - Processzor: Kt futszalag: o U (master) futszalag: minden utasts feldolgozsra alkalmas (univerzlis) o V futszalag: csak az Intel ltal egyszernek titullt utastsok feldolgozsra alkalmas pl. FX, L/S, B Mindkt futszalag 5 fokozat o F, D, gyorsttr elrs, E, W/B Csak akkor mkdik prhuzamosan, ha mindkt futszalag egyszer utastst dolgoz fel Az FP utastsokat az U futszalag elfeldolgozza, s magt a szmtst egy hromfokozat kiegszt lebegpontos futszalag vgzi - Gyorsttr: 2 db, egyenknt 8KB mret van belle, utasts-, ill. adatgyorsttr. - 43 -

llamvizsga 2010. jnius 29.


SzA24. Msodik genercis (szles) szuperskalr processzorok

Ksztette: Flix

(a kibocstsi szk keresztmetszet kikszblse: dinamikus utasts-temezs s regisztertnevezs, vgrehajtsi modelljk, rtkelsk) Kibocstsi szk keresztmetszet kikszblse 1. Dinamikus utasts-temezs 2. Regiszter-tnevezs 1. Dinamikus utasts-temezs: Pufferelt utasts-kibocsts Sorrenden kvli kiklds Elvi felpts: lsd rai jegyzet. Vrakoztat llomsok beszrsa a cache s a VE kz. Mkdse: - Els rsz: Mivel a kibocsts sorn nincs fggsgvizsglat, ezrt a lehvs- dekdolskibocsts a nominlis rtval mkdhet (RISC: 4 db utasts / raciklus) - Hts rsz: raciklusonknt akr tbb tucat utasts kzl is kivlaszthatja a CPU a fggetleneket, s azokat kikldi a megfelel vgrehajt egysgek fel. A kiklds sorrenden kvl trtnik, a konzisztencia biztostsrl kln kell gondoskodnunk. Vrakoztat lloms: adatfolyam vgrehajtsi modell. 2. Regiszter-tnevezs: - 2. genercis szuperskalr processzoroknl jelent meg - A CPU minden eredmnyregiszterhez hozzrendel egy tnevezsi regisztert - A CPU az adott regiszterre forrsregiszterknt trtn hivatkozsokat is kezeli - Az utasts-feldolgozs vgn a processzor az tnevezsi regiszterek tartalmt tmsolja az architekturlis regiszterekbe, s az tnevezsi regisztert felszabadtja - Regiszter tnevezsek eredmnyekppen az l-adatfggsgek teljesen kikszbldnek, ennek eredmnyekppen a vrakoztat llomsokban lv fggetlen regiszterek szma n

- 44 -

llamvizsga 2010. jnius 29. A msodik genercis szuperskalrok vgrehajtsi modellje: Utastsgyorsttr Lehvs Dekdols tnevezs Kibocsts Els rsz

Ksztette: Flix

forrsoperandusok

Hts rsz
Adatgyorsttr

Utasts-ablak Kiklds Vgrehajts Store Visszars Eredmnyek

Architekturlis regisztertr

az eredmnyek tnevezse

Load Mkdse: tnevezsi regisztertr Els rsz: Az utastsablak feltltse Minden alrendszer a nominlis rtval mkdik Hts rsz: A vrakoztat llomsbl a fggetlen utastsok kikldsre kerlnek VE -khez A visszars sorn o az eredmnyeket az tnevezsi regiszterbe rjk o Az tnevezsi regiszterbl pedig az architekturlis regiszterbe Jellemz tbocst kpessg: A kikldsi rta tipikusan 5-8 utasts/ciklus A vgrehajtsi alrendszer rtja mg nagyobb, mivel minden esetben gyznie kell a feldolgozst. CISC feldolgozs A CISC processzoron bell kialaktunk egy RISC magot A CPU a berkez CISC utastsokat lefordtja RISC utastsokk, majd ezeket dolgozza fel. Egy CISC utasts ~1,2-1,5 RISC utastss alakthat t tlagosan A CISC kibocstsi rta 3 utasts/ciklus, a RISC magon bell ez ~ 4 RISC utasts/cikluss alakul - 45 -

llamvizsga 2010. jnius 29.


SzA25. Utastslehvs I.

Ksztette: Flix

(a loklis elgazs elrejelzs fogalma, a loklis egyszint s ktszint dinamikus elgazs elrejelzs) A loklis elgazs elrejelzs fogalma: Az utastslehvsi alrendszer gyorsthat, amennyiben a felttel kirtkelse eltt sikerl kellkpp megjsolni, hogy a feltteles elgazsnl merre fog folytatdni a vezrls. A loklis jelentse, hogy az elgazsi utastst nmagban vizsgljuk, a krnyezettl fggetlenl, ellenttben a globlissal. A statikus s dinamikus elrejelzs: az ugrsi irny megbecslse Loklis egyszint fix: Tipikusan mindig ugrik. Futszalagoknl hasznltk. Loklis egyszint statikus: a programkd alapjn trtnik a becsls (compiler ltal). Jl felismerhet a ciklus, ezltal j a becsls. Els genercis szuperskalrok alkalmazzk. Loklis egyszint dinamikus: Bimodal Branch Prediction, ugrstrtneten alapul becsls. Megvalstsa: az rai jegyzetben. Minden elgazsi utastshoz ltrehozunk egy-egy bejegyzst, amelyek 2 bites teltett (nem-tfordul) szmllk. Az rtkei: 11 - ers ugrs 10 - gyenge ugrs 01 - gyenge folytats 00 - ers folytats. Az els bithelyirtk alapjn trtnik az ugrsi irny becslse. Mkds: Alapllapot: 11. Ha a felttel kirtkelse utn soros folytats, cskkentjk. A bejegyzseket tartalmaz tbla (BHT) kellen nagy, azaz minden elgazsi utastshoz hozzrendelhetnk egy-egy kln bejegyzst, a becsls igen pontos lesz (~93%). Amennyiben erre nincs lehetsg, azaz tbb elgazsi utastsnak kell osztoznia 1 bejegyzsen, a becsls romlik. Plda: Pentium I Loklis ktszint dinamikus: A BHT ebben az esetben egy 4 bites lptetregiszter, amely az elz 4 kirtkels eredmnyt tartalmazza a korbbiak alapjn (0: soros folytats, 1: ugrs). Minden BHT bejegyzshez (1. szint) tartozik egy-egy 16 db teltett szmllt tartalmaz tbla (2. szint), amely tartalmt a BHT tartalma cmzi meg. A teltett szmll mkdse a korbbiakkal azonos. A becsls a szmllk tartalma alapjn trtnik, ezt s a lptetregisztert karban kell tartani a felttel kirtkelse utn. Szablyos mintk esetn pontosabb a becsls (~97%), ugyanakkor lassabb s tbb hardver szksges hozz. Plda: Pentium Pro, Pentium MMX.

- 46 -

llamvizsga 2010. jnius 29.


SzA26. Utastslehvs II.

Ksztette: Flix

(az ugrsi cl lehet leggyorsabb elrsnek problma-felvetse, a kiszmtsi /behvsi, a BTAC s a BTIC mdszer) A problma felvetse: Az elgazsi utastsok blokkolnak. Ennek kikszblsre alkalmazzk az elgazsbecslst. Az ugrsi cl mielbbi elrse azrt fontos, mert a vezrls kb 75%-ban az ugrsi cm irnyban folytatdik tovbb. Kezels: A lehet legkevesebb ciklussal prbljuk elrni az ugrsi clt, sokfle megolds ltezik erre. Az utastsgyorsttr (I-cache) felptse: Address => I, I+1, I+2, I+3. 4-4 byte hossz utastsok (16 byte 1 bejegyzs). Igaztott utastsok: Az els (I) pozciban az ugrsi cl utasts (BTI), a tbbiben az t kvet tovbbi utastsok. Gyors i-cache: Az ugrsi cm (A) kiszmtsa utni raciklusban kiolvassra kerl az ugrsi cl utasts (I). Lass i-cache: 2-3 raciklus alatt kerl csak kiolvassra. Kiszmtsi/behvsi mdszer: Lnyege: Az ugrsi cm elrsnek a termszetes, hagyomnyos mdszere. Megvalstsa: az rai jegyzetben. IFAR lehetsges inputjai: IIFA, inkrementls, BTA. Mkdse: = A CPU meghatrozza indul program kezdcmt (IIFA), s betlti az IFAR -ba = IFAR inkrementldik, az adatmanipull utastsok feldolgozsra kerlnek = Elgazsi utasts esetn futszalag fokozattal vagy cmszmtval meghatrozsra kerl az ugrsi cm = A kapott cmmel fellrjuk az IFAR tartalmt = A vezrls tadsra kerl az IFAR ban lv ugrsi cmre rtkels - elnye: = Egyszer = Nem ignyel extra hardvert - htrny: = A cmszmts s az ugrs szekvencilis = Pldul ciklus estn, ha az n-szer fut akkor n-szer kell kiszmtani ugyan azt a cmet felesleges munka, idvesztesg, mert ezt elre is meg tudnnk mondani

- 47 -

llamvizsga 2010. jnius 29.

Ksztette: Flix

BTAC mdszer: Lnyege: Az ugrsi cmet csak egyszer szmoljuk ki, s azt troljuk a BTAC ben, a kvetkezkben az ott eltrolt cmet hasznljuk fel Megvalstsa: rai jegyzetben. Plusz hardver: BTA Cache. Azt az ugrsi clcmet, amit mr egyszer kiszmoltunk, ebben a gyorsttrban helyezzk el. Ennek a tartalmval rhatjuk fell az IFAR -t. Mkdse: = Az ugrsi utastsok cme s a hozzjuk tartoz ugrsi clcm bersra kerl a BTAC -be = Amennyiben az IFAR ban olyan ugrsi utasts cme van, amelyhez tartozik bejegyzs a BTAC ben, akkor - az utasts-gyorsttr elrsvel egyidejleg a BTAC -bl kiolvassra kerl az ugrsi cm. - a kvetkez raciklusban pedig mr az ugrsi cmre addik t a vezrls rtkels: Elny: - Nem kpez szekvencit az ugrsi cm meghatrozsa s az ugrs. - Csak egyszer kell meghatrozni az ugrsi cmet Htrny - Extra hardvert ignyel: BTAC Plda: Pentium I BTIC mdszer: Lnyege: Ha lass az utasts gyorsttr, 2-3 raciklus ksssel tudja csak szlltani az ugrsi cl utastst. Ezt a ksst hasznostjuk ezzel a mdszerrel. Megvalstsa: rai jegyzetben. BTIC: kicsi s gyors cache. BA, BTI s (BTA+ vagy BTI+). Mkdse: = BTIC-be behvsra kerlnek a legutbbi nhny = Elgazsi utasts cme, vagy = Az elgazsi utastsok kzl azok cme, melyek az ugrsi irnyban folytatdtak = A BTIC tovbbi rszben az ugrsi utasts (BTI) S az azt kvet nhny utasts cme (BTA+) VAGY az azt kvet nhny utasts (BTI+) = Amennyiben az IFAR ban olyan elgazsi utasts cme kerl, melyhez tartozik bejegyzs a BTIC ben, akkor az ugrsi cmen lv utasts a BTIC bl kerl lehvsra, sokkal gyorsabban, mint az utasts-gyorsttrbl megtrtnhetne. Plda: AMD AM29000 - 48 -

llamvizsga 2010. jnius 29.


SzA27. Eldekdols

Ksztette: Flix

(szksgessge, megvalstsa, feladatai, azok lekpezse az eldekdol ltal) Az eldekdols szksgessge: A dekdolsi alrendszer gyorstsa. A szuperskalr feldolgozs esetn tbbletfeladatok hrulnak a processzorokra: = CISC esetn RISC szer utastsra fordts = tnevezs = Szabad helyek megltnek ellenrzse az tnevezsi pufferben (ROB) a vrakoztat llomson = Megfelel vrakoztat lloms kivlasztsa = Egy vrakoztat llomsba egy raciklusban tbb utasts kibocstsa Mennyisgileg is tbbet kell elvgezni = CISC esetben 3 utasts / raciklus = RISC esetben 4 utasts / raciklus A nagy mennyisg kikldhet utasts kezelsre a megolds az eldekdols. A megvalsts: L2 cache -> Eldkdol -> L1 cache. 128 s 148 bit/raciklus svszlessg. Feladatai: - CISC esetben az utasts kezdetnek s a vgnek felismerse (a CISC vltoz hosszsg utasts, a RISC hossza fix) - Az utasts tpusnak meghatrozsa (Load/Store, aritmetikai, stb) - Ugrsi utastsok felismerse - A mveleti kd behatrolsa - Akr az elgazsi cmet is kiszmthatja A feladatok lekpzse az eldekdol ltal: Plda: az AMD K5 CISC processzor minden byte -hoz hossz tesz 3 bitet => 60% -kal tbb RISC: tipikusan 4-7 bitet tesznek hozz => 10-12% -os nvekeds

- 49 -

llamvizsga 2010. jnius 29.


SzA28. Kibocstshoz kttt operandus-lehvs

Ksztette: Flix

(megvalstsa, a kibocstshoz s a kikldshez kapcsold feladatok, frissts, rtkels) Megvalstsa Lehvs Dekdols Kibocsts
Forrsoperandus azonostja

ops source (forrs) operandus opd destination operandus v valid bit V vrakoztat lloms VE vgrehajt egysg

Architekturlis regiszter

V 1.

MK opd

ops1 v ops2 v

MK opd

ops1 v ops2 v

V n.

kiklds VE 1. VE n.

Infrastruktra az rvnyessg-jelzshez: az adatregiszterek utn 1 bites kiegszts, az llapotbit jelzi az rvnyessget. 1, ha rvnyes, 0, ha nem. Ez megtallhat mind az adatregiszterben, mind pedig a vrakoztat lloms forsoperandusainl. Feladat: A forrs-operandusok rvnyessgnek ellenrzse. A kibocsts sorn a vrakoztat llomsba kerl a mveleti kd s az eredmny regiszterazonostja. A forrs-operandusok regiszter-azonosti eljutnak az architektrlis regiszterbe. A lehetsges esetek: - amennyiben az adott regiszterben lv adat valid-bitje 1, akkor maga az rtk bemsolsra kerl a vrakoztat llomsba, s a valid-bitet 1 -re lltja - amennyiben az adott regiszterben lv adat valid-bitje 0, akkor az adott regiszterazonost kerl be a vrakoztat llomsba, s a valid-bitet 0 -ra lltja. Az eredmnyek frisstse kt helyen is szksges: - Az architektrlis regiszterben: az eredmnyt berjuk, s a valid-bitet 1-re lltjuk - A vrakoztat llomsokban: az eredmnysnre fel kell helyezni mind az eredmnyt, mind pedig a regiszter-azonostt; asszociatv keresst kell vgrehajtani a vrakoztat llomsban az adott regiszter-azonostval, s ahol megtalltuk, ott a regiszterazonostt fellrjuk az eredmnnyel, s a valid-bitet 1-re lltjuk. Ezt a keresst el kell vgezni minden olyan vrakoztat llomsban, ahol ez az eredmny elfordulhat (pldul fixpontos eredmny esetn az sszes fixpontos vrakoztat llomsban) rtkels Htrnyai: A szk keresztmetszetet jelent dekdolsi/kibocstsi fzist terheli, lasst A vrakoztat llomsokban maguk az rtkek vannak, ezrt azok hosszak Kt helyen kell az eredmnyekkel karbantartst vgezni Elnye: igen gyors a valid bitek kirtkelse - 50 -

llamvizsga 2010. jnius 29.


SzA29. Kikldshez kttt operandus-lehvs

Ksztette: Flix

(megvalstsa, a kibocstshoz s a kikldshez kapcsold feladatok, frissts, rtkels) Megvalstsa: Lehvs Dekdols Kibocsts kibocsts V 1. V n. MK opd ops1 ops2 MK opd ops1 ops2

kiklds architekturlis regiszter v

VE 1.

VE n.

Feladat: A forrs-operandusok rvnyessgnek ellenrzse = Kibocstskor a megfelel vrakoztat llomsba juttatjuk a mveleti kdot s a cl valamint a forrsoperandusok azonostit = A CPU ellenrzi a forrs-operandusokhoz tartoz regiszterek valid-bitjt az architekturlis regiszterben Eredmnyek frisstse: = Amennyiben az utasts mindkt forrs-operandusnak valid-bitje 1, akkor - Az adott utasts mveleti kdja s eredmny azonostja kikldsre kerl a vgrehajtegysgbe, tovbb - A forrs-operandusok azonosti kikldsre kerlnek az architekturlis regiszterbe, ahonnan az rtkek kis ksleltetssel elindulnak a vgrehajt egysgbe = Az eredmnyekkel kizrlag az architektrlis regisztert kell karbantartani rtkels Elnyei - Felszabadtja a szk keresztmetszetet jelent dekdolsi/kibocstsi fzist => gyorst - A vrakoztat llomsok csak regiszter azonostt tartalmaznak => rvid - Az eredmnyekkel csak az architektrlis regisztert kell frissteni Htrnya: A forrs-operandusok rvnyessgnek ellenrzse bonyolultabb - 51 -

llamvizsga 2010. jnius 29.


SzA30. trendezsi puffer (ROB)

Ksztette: Flix

(megvalstsa, mkdse, az tnevezs, a spekulatv vgrehajts s a pontos megszaktskezels tmogatsa) A ROB bejegyzsek tartalma: utasts azonosti, operandusok, llapotbitek Megvalstsa: krpuffer segtsgvel. A kezdeti mutat az els res helyre mutat, a vgmutat a kirand bejegyzsre. Mkdse: - A kibocsts sorrendben trtnik, gy a kibocsts sorrendjben folyamatosan tltjk fel a krpuffert a kezdeti mutatnak megfelelen - A processzor kveti a kikldst: vgrehajts alatt van-e, vagy mr befejezdtt - A kirsi felttel (W/B, az eredmnyt az architekturlis regiszterbe vagy memriba) o Az adott utasts vgrehajtsa befejezdtt o Minden, ezt megelz utasts kirsra kerlt - Megjegyzs: a RISC szer utastsok eredmnyt nem lehet kirni, csak az eredeti CISC utasts eredmnyt - A kirt bejegyzs helyt a CPU felszabadtja tnevezs: A msodik genercis szuperskalr feldolgozsnl az tnevezseket a ROB felgyeli. A ROB hozzrendel az utastsok clregiszterhez egy-egy regisztert az tnevezsi regisztertrbl, ezzel minimalizlja az adatfggsgek hatst. Spekulatv elgazs-kezels - Infrastruktra: a ROB minden bejegyzshez hozzrendelnk egy llapotbitet, mely jelzi, hogy az adott bejegyzs spekulatv-e, vagy sem - Tovbbi kirsi felttel: Spekulatv utastst nem szabad kirni A pontos megszakts-kezels tmogatsa: Megszaktst csak akkor lehet elfogadnia a processzornak, amikor az adott bejegyzs a ROB bl kirsra kerl: kivtel-konzisztencia.

- 52 -

llamvizsga 2010. jnius 29.

Ksztette: Flix

SzA31. Msodik genercis (szles) szuperskalr processzorokra esettanulmny

(Pentium Pro: megvalsts s jellemzk) Pentium Pro megvalstsa:

Dekderek: 2 egyszer, 1 sszetett s 1 MIS (Microcode Instruction Sequencer). Egyszer: 1 db, sszetett: 1-4 db, MIS: 4 feletti RISC utasts dekdolsa. - 53 -

llamvizsga 2010. jnius 29. Jellemzi:

Ksztette: Flix

1995: eredetileg 133Mhz, 14 fokozat fixpontos futszalag Kzponti vrakoztat lloms 20 db RISC szer utastst kpes befogadni, fixpontost s lebegpontost egyarnt. Az tnevezseket a ROB kezeli, a szekvencilis konzisztencia szintn ROB ltal biztostott operandus lehvs, tnevezs, kibocsts: kibocstshoz kttt operandus lehvs minden clregisztert tnevez, erre a ROB ot hasznlja fel vrakoztat llomsban RISC utasts-szerkezet: r1 <= r2@r3 A2 R3 A3 A: llapotjelzbit Amennyiben a forrsoperandus rtke mg nem ll rendelkezsre, akkor a regiszterazonostt rja be a vrakoztat llomsba, s az llapotbitet 0 ra lltja Ha a forrsoperandus a ROB ban is megtallhat, akkor az architekturlis regiszterrel szemben a ROB beli rtket helyezi elnybe kiklds: az idsebb utastsokat rszesti elnyben vgrehajts Azrt van 6 db VE egy porton, hogy helyet takartson meg a lapkn IU integer unit, IDIV egsz oszts, ISH lptets Visszars: 2 helyen kell visszarni: Vrakoztat llomsba - Kiveszi azt a regiszter azonostt, amelynek az eredmnye elkszlt - Ezt fellrjuk az eredmnnyel - llapotbitet 1 re lltjuk ROB ba - A ksbbi utastsok szmra, melyek ugyanerre a regiszterre hivatkoznak - A ROB ba val kirs szablyai: = Az sszes megelz utasts eredmnye mr kirsra kerlt = RISC utastsok eredmnye csak akkor rhatk ki, ha az adott CISC hez tartoz sszes RISC elkszlt = Amikor a CISC eredmnyt kirta a ROB bl az architekturlis regiszterbe, akkor felszabadtja az adott utastsnak a ROB ban elfoglalt helyt MK R1 R2

- 54 -

llamvizsga 2010. jnius 29. SzA32.

Ksztette: Flix

Harmadik genercis szuperskalr processzorok: az utastson belli prhuzamos vgrehajts (hrom-operandus utastsok, SIMD-utastsok, VLIW-architektrk)

Utastson belli prhuzamossg


Hrom-operandus utastsok FX SIMD FP korai VLIW napjaink

A processzorszint logikai architektra kiterjesztse: Evolcis irny

Teljesen j processzorszint logikai architektra: Revolcis irny

Hrom-operandus utastsok - Fogalma: egy utastson bell kt klnbz mvelet - Pldul: multiply-add: x= a*b+c; load-op: utasts betlts s vgrehajts; shift-add - Numerikus feldolgozst gyorstjk, fleg RISC, ritkbban CISC krnyezetben alkalmazzk - 70-es vekben jelentek meg SIMD Simple Instruction Multiple Data: - Fogalma: egyetlen utastson bell tbb operanduson ugyanazt a mveletet hajtjuk vgre - A SIMD megjelense jelenti a 3. genercis szuperskalrok megjelenst! - Alapvet jellemzi: A processzorszint logikai architektra kiterjesztse Az L2 gyorsttr felkerlt a processzor lapkjra (a tbb operandus miatt) A rendszerarchitektra szk keresztmetszetnek bvtsre megjelent az AGP sn, kifejezetten a videokrtya szmra - A SIMD utastsok kizrlag a multimdia mveleteket gyorstjk, az ltalnos cl feldolgozsra nincsenek hatssal. - fajti: fixpontos multimdia: hang s a pixeles kpfeldolgozs 2-8 operandus egy utastsban Lebegpontos multimdia vektoros kpfeldolgozsban 2-4 operandus egy utastsban

- 55 -

llamvizsga 2010. jnius 29. VLIW Very Long Instruction Word - Mkdsi elve: utasts gyorsttr

Ksztette: Flix

VE1

VE2

VEn

VLIW -

Regisztertr

Fggsgek kezelse Statikusan, compiler ltal Htrnya: A compiler ersen technolgiafgg, azaz ismernie kell a fizikai architektrt pl.: a vgrehajt egysgek szmt a vgrehajt egysgek ksleltetst a gyorsttr ksleltetst Elnye: Egyanolyan fok prhuzamosts mellett a VLIW sokkal egyszerbben megvalsthat Ennek ksznheten jelenhetett meg csaknem 10 vvel korbban, mint a szuperskalr processzorok Utastsok: teljesen j logikai architektra: teljesen j, hossz utastsszav utastsok Fajti, fejldse Szles vagy korai VLIW-ek 80 -as vekben jelentek meg Pl.: TRACE processzor: 256-1024 bit hossz utastsszavak 7-28 utastst tartalmaztak Igen hamar lelltottk a forgalmazst, ennek oka: a compiler nagyfok technolgiai fggsge Keskeny VLIW-ek 90 es vek 2. felben jelentek meg, kzben sokat fejldtek a compilerek Fajti: Digitlis jelfeldolgozs, multimdia Az ok ugyanaz, mint SIMD esetn: nincs benne feltteles elgazs ltalnos cl feldolgozs o Szerverpiac: Intel Itanium (2004 utn: tbbmagos CPUk) o Hordozhat gpek: Transmeta Crusoe (Vltoz tpfeszltsg-szint; a VLIW alacsonyabb fogyaszts) - 56 -

Você também pode gostar