Você está na página 1de 242

Departamento de Ingeniera Electrnica

Diseo e Implementacin de un Inversor


Multinivel para Sistemas Fotovoltaicos
Conectados a Red.













Alumno: Javier Chavarra Ro


Director: Domingo Biel Sol.






Barcelona, curso 2009 - 2010




















































TTULO: Diseo e Implementacin de un Inversor Multinivel
para Sistemas Fotovoltaicos Conectados a Red.




APELLIDOS: Chavarra Ro. OMBRE: Javier.

TITULACI: Mster en Electrnica.


DIRECTOR: Domingo Biel Sol.

DEPARTAMETO: Ingeniera Electrnica.



CALIFICACI DE LA TESIS DE MASTER







TRIBUAL

PRESIDETE

Francesc Guinjoan Gispert




SECRETARIO

Domingo Biel Sol




VOCAL

Fernando Silva Martnez








FECHA DE LECTURA: _____ de ____________ de 2010.


Este proyecto considera aspectos medioambientales: Si No














A mi abuelo Joaqun y a mi bisabuelo.


All donde estis os quiero dar las gracias
por todas las veces que he pedido ayuda
y me la habis concedido, as como por
haberme guiado tanto en mis estudios
como en mi vida personal. Habis sido mi
referencia e ideal a seguir dndome
fuerzas para llegar hasta el da de hoy.
Siempre estaris en mi recuerdo.

Javier.











































































Agradecimientos


No puedo presentar este proyecto sin antes dar las gracias a todas las personas
que me rodean y me han apoyado durante todos estos aos de estudios. Por ello, quiero
agradecer a mis tutores Domingo Biel y Francesc Guinjoan su dedicacin, ayuda y
consejos los cuales me han servido tanto para llevar a cabo la tesis como para poder
tomar decisiones a nivel profesional y personal. Ellos, no solo me han formado como
ingeniero sino que tambin me han tratado como un amigo inculcndome valores y
criterios que me acompaarn a lo largo de mi vida.
Tambin debo agradecer a Carlos Meza y Juan Jos egroni su amabilidad y
tiempo de dedicacin al inicio del proyecto, para darme las indicaciones necesarias a
seguir, as como para transferirme toda la informacin previa procedente de sus tesis
doctorales la cual ha servido de referencia para el desarrollo de este proyecto.
No debo pasar por alto a las personas que de forma desinteresada han
contribuido en pequeos detalles de la tesis, pero no por ello menos importantes. As,
dar las gracias a Rafael Ramos por sus indicaciones tcnicas en cuanto a programacin
de la FPGA, a Jordi Mdrenas por facilitarme el programa de compilacin y
simulacin que se necesitaba para trabajar en el laboratorio. Tambin al personal del
IOC por su amabilidad y paciencia durante el inicio del proyecto y el traslado de
equipos.
Finalmente, a mi esposa, a mis padres y a mi hermano, no tengo palabras para
agradecerles toda la ayuda, paciencia, cario y dedicacin que han tenido durante cada
segundo de mi vida. Gracias a su comprensin y apoyo he podido llegar hasta la
culminacin de la Ingeniera Superior y del Master en Electrnica, cosa que no me
habra sido posible sin ellos.







































































NDICE



CAPTULO 1

Energas Renovables: Inversores Fotovoltaicos Conectados a Red.

1.1 Energas renovables: Introduccin.. 1-4
1.2 La energa solar fotovoltaica... 1-5
1.3 Generadores fotovoltaicos... 1-6
1.3.1 La clula solar 1-6
1.3.2 El panel fotovoltaico.. 1-8
1.3.3 Agrupacin de paneles fotovoltaicos. 1-9
1.4 El sistema procesador de potencia..... 1-11
1.5 Planteamiento del trabajo y antecedentes.... 1-12
1.5.1 Eleccin de la arquitectura inversora. 1-12
1.5.2 Definicin de la estrategia de control 1-15
1.5.3 Objetivos 1-16


CAPTULO 2.

Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2.1 Modelo y sistema de control del Inversor Central 2-4
2.1.1 Modelado del sistema a partir del concepto de balance energtico 2-7
2.1.1.1 Modelo del sistema inversor central promediado en un periodo de
red 2-9
2.1.1.2 Modelo discreto del panel fotovoltaico. 2-11
2.1.1.3 Modelo lineal discreto del sistema inversor 2-13
2.1.2 Diseo del sistema de control para el Inversor Central 2-15
2.1.2.1 MPPT. 2-16
2.1.2.2 Diseo del lazo de control externo.... 2-17
2.1.2.3 Diseo del lazo de control interno. 2-21
2.1.2.3.1 Controlador Proporcional Resonante 2-21
2.1.2.3.2 Modulacin para el control de los Mosfets 2-26
2.2 Modelo y sistema de control del Inversor Multinivel de n etapas 2-28
2.2.1 Modelado del inversor multinivel a partir del concepto de balance
energtico. 2-32
2.2.1.1 Modelo del inversor multinivel promediado en un periodo de red... 2-34
2.2.1.2 Modelo discreto de los conjuntos fotovoltaicos independientes. 2-35
2.2.1.3 Modelo lineal discreto del sistema inversor multinivel 2-37
2.2.2 Diseo del sistema de control para el inversor multinivel linealizado
mediante el concepto de balance energtico 2-41
2.2.2.1 Diseo del lazo de control externo 2-43
2.2.2.2 Diseo del lazo de control interno. 2-44
2.2.2.2.1 Controlador Proporcional Resonante. 2-44
2.2.2.2.2 Modulacin para el control de los Mosfets 2-45
2.2.2.2.2.1 Modulacin PS-PWM... 2-46
2.2.2.2.2.2 Modulacin PD-PWM.. 2-48


CAPTULO 3

Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3.1 Ejemplo de diseo de un Inversor Central... 3-4
3.1.1 Diseo del controlador del lazo externo.... 3-7
3.1.2 Diseo del controlador del lazo interno..... 3-10
3.1.3 Validacin del diseo mediante simulacin... 3-15
3.2 Ejemplo de diseo de un Inversor Multinivel... 3-23
3.2.1 Diseo del controlador del lazo externo.... 3-24
3.2.2 Diseo del controlador del lazo interno..... 3-27
3.2.3 Validacin del diseo mediante simulacin... 3-28
3.2.3.1 Simulacin del inversor multinivel en estado estacionario... 3-32
3.2.3.2 Simulacin del inversor multinivel en rgimen transitorio... 3-38
3.2.3.2.1 Transitorios debidos a cambios de irradiancia... 3-38
3.2.3.2.2 Seguimiento del algoritmo MPPT.. 3-43


CAPTULO 4

Implementacin del Inversor Multinivel y del Sistema de Control.

4.1 Diagrama de bloques del inversor multinivel.. 4-4
4.2 Descripcin hardware del sistema... 4-5
4.2.1 Simulador del panel fotovoltaico e interfaz de control.. 4-5
4.2.2 Equipos de alimentacin y adquisicin de resultados 4-8
4.2.3 Etapas inversoras 4-10
4.2.4 El inductor.. 4-17
4.2.5 Mdulo de conexin a la red elctrica... 4-17
4.2.6 Sensado de las variables de estado. 4-21
4.2.6.1 Tensin en bornes del panel fotovoltaico...... 4-21
4.2.6.2 Tensin de red... 4-24
4.2.6.3 Corriente inyectada a la red... 4-24
4.2.7 Hardware complementario. 4-26
4.2.7.1 Generador de pulsos para sincronismo con la red. 4-27
4.2.7.2 Generador de corriente de referencia 4-27
4.2.7.3 Clculo de la seal de error... 4-29
4.2.7.4 Conversin A/D de las seales.. 4-30
4.2.7.4.1 Convertidor A/D paralelo de 12 bits (AD9225). 4-30
4.2.7.4.2 Convertidor A/D serie de 12 bits (ADCS7476MSPS)... 4-33
4.2.7.5 Conversin D/A de las seales.. 4-34
4.2.7.5.1 Convertidor D/A paralelo... 4-34
4.2.7.5.2 Convertidor D/A serie 4-34
4.2.8 Placa de evaluacin Spartan-3 FPGA 4-35
4.2.9 Configuracin de la masa del sistema 4-47
4.2.10 Montaje hardware completo 4-48
4.3 Implementacin del cdigo de control en la FPGA 4-49
4.3.1 Diagrama de bloques del sistema de control.. 4-49
4.3.2 Definicin de los bloques del control 4-52
4.3.2.1 Sistema de generacin de las seales de reloj... 4-52
4.3.2.2 Detector de ciclo de red. 4-53
4.3.2.3 Lazo de control externo. 4-53
4.3.2.4 Lazo de control interno.. 4-54
4.3.2.4.1 Diseo del filtro resonante. 4-55
4.3.2.4.2 Diseo del divisor... 4-57
4.3.2.5 Modulacin 4-59
4.3.2.5.1 Modulacin PS-PWM 4-59
4.3.2.5.2 Modulacin PD-PWM 4-61
4.3.2.6 Control de la utilidad para la conexin a red. 4-62
4.3.2.7 Ajuste de la tensin de referencia.. 4-63
4.4 Presupuesto.. 4-65


CAPTULO 5

Resultados Experimentales del Inversor Multinivel.

5.1 Configuracin del inversor multinivel. 5-4
5.1.1 Definicin de los conjuntos fotovoltaicos.. 5-4
5.1.2 Definicin de los parmetros de los controladores 5-4
5.2 Resultados experimentales.. 5-8
5.2.1 Arranque del sistema.. 5-8
5.2.2 Funcionamiento en rgimen estacionario.. 5-11
5.2.2.1 Variacin de la irradiancia 5-19
5.2.2.2 Variacin de la tensin de referencia.. 5-28
5.2.3 Funcionamiento en rgimen transitorio..... 5-32
5.2.3.1 Variacines de la irradiancia 5-32
5.2.3.2 Transiciones de la tensin de referencia 5-36


CAPTULO 6

Conclusiones y Futuras Lneas de Investigacin.

6.1 Conclusiones 6-3
6.2 Futuras lneas de investigacin.... 6-5


CAPTULO 7

Bibliografa.

7.1 Tesis Doctorales.. 7-3
7.2 Proyectos Fin de Carrera.... 7-3
7.3 Artculos y publicaciones... 7-3
7.4 Normativas.. 7-5
7.5 Libros.. 7-6
7.6 Datasheets.... 7-6




















Captulo 1 _ EERGAS REOVABLES: Inversores Fotovoltaicos Conectados a Red.

1-1
CAPTULO 1











Energas Renovables:
Inversores Fotovoltaicos Conectados a Red.

















Captulo 1 _ EERGAS REOVABLES: Inversores Fotovoltaicos Conectados a Red.

1-2


































Captulo 1 _ EERGAS REOVABLES: Inversores Fotovoltaicos Conectados a Red.

1-3
Resumen

Se presenta a continuacin una breve descripcin de las energas renovables existentes
y de su potencial como recursos energticos. De este amplio conjunto, se escoge la
energa solar fotovoltaica.

Sin profundizar, se mencionan algunas regulaciones que deben cumplirse a nivel
estatal y las expectativas que hay fijadas. De estas leyes y de las ayudas que se ofrezcan
al sector, depende en gran medida la futura evolucin de la tecnologa.

Los paneles fotovoltaicos son los principales elementos de estas instalaciones, por lo
cual se describe su funcionamiento. Estos paneles se agrupan en conjuntos formando el
generador fotovoltaico o array y precisan de una o varias etapas de potencia que
permitan convertir adecuadamente la energa generada para su posterior utilizacin.
Estas etapas se conocen con el nombre de sistemas procesadores de potencia.

Se muestran diferentes opciones para implementar el sistema procesador de potencia.
De entre todas ellas se elige la estructura llamada Inversor Multinivel la cual ser
objeto de estudio en esta tesis.

Finalmente se plantean los objetivos de este trabajo y se describe la estructura que se
sigue para presentar los resultados obtenidos.



























Captulo 1 _ EERGAS REOVABLES: Inversores Fotovoltaicos Conectados a Red.

1-4
1.1. Energas renovables: Introduccin.


El consumo masivo de recursos energticos de origen fsil, agotables y contaminantes
plantea el problema de encontrar nuevas fuentes de energa que sustituyan al carbn, al
petrleo, al gas natural y al uranio cuando se agoten los yacimientos actuales y los que
se puedan encontrar en el futuro.

Las nuevas fuentes de energa deben ser renovables y no contaminantes para evitar los
inconvenientes del actual modelo de consumo energtico y poder alcanzar el
cumplimiento del Protocolo de Kyoto. Adems, es interesante que su tecnologa permita
ubicarlas en cualquier lugar sin necesidad de desarrollar grandes infraestructuras.

La mayora de las energas renovables ms utilizadas derivan de forma directa o
indirecta de la actividad solar. Se pueden listar a modo de ejemplo:

- Solar (fotovoltaica y trmica).
- Elica.
- Hidrulica.
- Biomasa

La definicin de las energas renovables se puede entender como aquellas que permiten
satisfacer las necesidades energticas presentes sin poner en compromiso las
necesidades de energa de las generaciones futuras y de los pases en desarrollo.

Todas estas energas renovables, cuentan actualmente con el apoyo de polticas y
decretos que tratan de favorecer y regular la expansin en el territorio. Muestra de ello
se puede encontrar en el Plan de Energas Renovables en Espaa para el periodo 2005
2010 y su posterior ampliacin para el periodo 2010 2020. En una primera estimacin,
la aportacin de las energas renovables para el ao 2020 se calcula alrededor del 22.7%
del consumo final bruto de energa y un 42.3% de la generacin elctrica.

Actualmente, el origen de la electricidad producida en Espaa se puede resumir
mediante la siguiente tabla:


Origen Mezcla de produccin en el
sistema elctrico espaol
Renovables 27.9 %
Cogeneracin de Alta Eficiencia 2.3 %
Cogeneracin 9.3 %
CC Gas Natural 27.3 %
Carbn 12.1 %
Fuel/Gas 0.7 %
Nuclear 19.3 %
Otras 1.1 %

(*)
Datos facilitados por Endesa en la facturacin de la electricidad a fecha 14 de Mayo del 2010.

Tabla 1.1. Mezcla de produccin en el sistema elctrico espaol durante 2009.
Captulo 1 _ EERGAS REOVABLES: Inversores Fotovoltaicos Conectados a Red.

1-5
1.2. La energa solar fotovoltaica.

La energa solar fotovoltaica es la que utiliza el efecto fotovoltaico para generar energa,
mediante el flujo de electrones que genera un material semiconductor al incidir la luz
sobre l. Este tipo de generacin de electricidad es especialmente til en lugares
aislados a los que no llega la electricidad. Sin embargo, su expansin como fuente de
generacin de energa para la red elctrica ha sido muy rpido durante los ltimos aos,
especialmente en pases como Alemania.

En Espaa, debido a los favorables niveles medios de irradiancia solar y a los incentivos
econmicos del gobierno, la produccin de energa solar fotovoltaica ha crecido
rpidamente, tanto, que ha sido necesaria una actualizacin de las retribuciones.

El RD661/2007 [N.2] fijaba unas primas para la produccin de energa elctrica en
rgimen especial (conexin a la red elctrica) de:



Tabla 1.2. Primas para la produccin de energa solar fotovoltaica RD661/2007.

Observando la evolucin y en base a las expectativas fijadas en [N.4] y [N.5], el
gobierno modifica las primas mediante el RD1578/2008 [N.1]. Dicha modificacin
reduce los incentivos en base a la premisa una retribucin excesiva podra repercutir de
manera significativa en los costes del sistema elctrico y desincentivara la apuesta por
la investigacin y el desarrollo, disminuyendo las excelentes prespectivas a medio y
largo plazo para esta tecnologa. La tarifa vigente queda fijada en las cuantas que se
muestran en la siguiente tabla:



Tabla 1.3. Primas para la produccin de energa solar fotovoltaica RD1578/2008.

Por consiguiente, sigue siendo de gran importancia el esfuerzo en investigacin para
optimizar el rendimiento del sistema y reducir el coste de los elementos de la cadena de
captacin y conversin de energa.
Captulo 1 _ EERGAS REOVABLES: Inversores Fotovoltaicos Conectados a Red.

1-6
1.3. Generadores fotovoltaicos.


1.3.1. La clula solar.

La clula solar es el elemento que convierte la energa procedente del Sol en forma de
fotones en energa elctrica. Estn formadas, bsicamente, de una unin p-n fabricada
en un material semiconductor. El modelo circuital se representa en la siguiente figura:















Figura 1.1. Modelo circuital de la clula solar y curva caracterstica.

Donde:

I
gc
es la corriente inducida por la luz incidente y, por tanto, depende de la irradiancia
(G) y de la temperatura (T).

I
D
es la corriente a travs del diodo formado por la unin p-n de la clula.

R
s
es una resistencia interna de la clula y se debe a la malla de metalizacin, a la
resistencia de los contactos y a la resistencia del propio semiconductor con el que se ha
fabricado.

R
p
tiene su origen en imperfecciones de la calidad de la unin p-n que constituye la
clula y es responsable de la existencia de fugas de corriente.


El modelo de la clula se representa de forma simplificada, considerando nulas las
resistencias R
s
y R
p
, mediante la ecuacin:

p
s PV PV V
R i v
satc gc D gc PV
R
R i v
e I I T I T G I i
t
s PV PV

1 ) ( ) , (

= =
+

= 1

t
PV
V
v
satc gc PV
e I I i

; con =
p s
R R ; 0
I
sc

V
oc

0
Iluminacin
Oscuridad
Potencia
absorbida
Potencia
cedida
I
gc I
D
R
s
R
p
i
PV
v
PV
Captulo 1 _ EERGAS REOVABLES: Inversores Fotovoltaicos Conectados a Red.

1-7
V
t
es la tensin trmica que se define como:

e
T k
V
t

=

k = constante de Boltzmann (1,3810
-23
J/K ).
T = temperatura en grados Kelvins.
e = carga del electrn (1.610
-19
C)

es una constante que representa el coeficiente de emisin que vara entre 1 y 2
dependiendo del proceso de fabricacin.

I
satc
es la corriente de saturacin del diodo.


El comportamiento de la clula sometida a diferentes irradiancias y temperaturas se
puede describir mediante la relacin corriente-tensin y potencia-tensin:













Figura 1.2. Variacin de las curvas caractersticas de la clula solar en funcin de la irradiancia.













Figura 1.3. Variacin de las curvas caractersticas de la clula solar en funcin de la temperatura.

La potencia que puede entregar la clula solar aumenta con la irradiancia y cuando
disminuye la temperatura. El punto de mxima potencia vara de posicin en funcin de
las condiciones ambientales y, por tanto, es necesario definir algn sistema que permita
ajustar el punto de trabajo.

0 50 100 150 200 250 300 350 400 450 500
0
500
1000
1500
2000
2500
P
o
t
e
n
c
i
a

[
W
]
VPV [V]


15C
25C
35C
45C
0 50 100 150 200 250 300 350 400 450
0
1
2
3
4
5
6
7
I
P
V

[
A
]
VPV [V]


1000W/m
2
800W/m
2
500W/m
2
0 50 100 150 200 250 300 350 400 450
0
500
1000
1500
2000
2500
P
o
t
e
n
c
i
a

[
W
]
VPV [V]


1000W/m
2
800W/m
2
500W/m
2
0 50 100 150 200 250 300 350 400 450 500
0
1
2
3
4
5
6
7
I
P
V

[
A
]
VPV [V]


15C
25C
35C
45C
Captulo 1 _ EERGAS REOVABLES: Inversores Fotovoltaicos Conectados a Red.

1-8
1.3.2. El panel fotovoltaico.

El panel fotovoltaico est formado por la agrupacin de clulas solares en serie y
paralelo hasta obtener los valores de voltaje y corriente deseados. El conjunto se
encapsula de forma que las clulas solares queden protegidas de la corrosin y la
superficie posterior est totalmente sellada para proteger de la humedad y de daos
mecnicos.

La simbologa que se utiliza a lo largo de esta tesis para definir grficamente el panel
fotovoltaico es:









Figura 1.4. Smbolos utilizados para definir el panel fotovoltaico.

La representacin estndar de un panel fotovoltaico viene definida por su caracterstica
corriente-tensin.














Figura 1.5. Caracterstica corriente-tensin de un panel fotovoltaico.

Los puntos ms importantes de la curva son la corriente de cortocircuito (I
sc
), la tensin
en circuito abierto (V
oc
), los calores de corriente y tensin en el punto de mxima
potencia (I
mp
, V
mp
) y la potencia mxima (P
mp
).

A parte de la relacin corriente-tensin, es importante tener en cuenta la eficiencia
energtica del panel fotovoltaico, es decir, el cociente entre la energa producida por el
dispositivo y la energa incidente que se puede obtener. Este parmetro viene
determinado por el material utilizado a la hora de fabricar las clulas solares y puede
variar entre el 4% y el 40%.

v
PV
i
PV
0 100 200 300 400 500 600
0
1
2
3
4
5
6
7
I
sc

V
oc

(V
mp
, I
mp
)
Captulo 1 _ EERGAS REOVABLES: Inversores Fotovoltaicos Conectados a Red.

1-9


Figura 1.6. Evolucin de la eficiencia a lo largo de los aos.


1.3.3. Agrupacin de paneles fotovoltaicos.

La interconexin serie-paralelo de paneles fotovoltaicos constituye el generador
fotovoltaico. Es interesante agrupar paneles de caractersticas similares y que estn
sometidos a condiciones ambientales idnticas con el fin de maximizar la potencia
generada.

Igual que en el caso de la agrupacin de clulas solares, la agrupacin de paneles
permite escalar la curva de potencia y obtener un nico punto de mxima potencia igual
a la suma de las mximas potencias de cada panel, siempre y cuando todos ellos sean
iguales y se encuentren en las mismas condiciones de trabajo.













Figura 1.7. Interconexin de paneles fotovoltaicos.
v
PV
i
PV
Diodos de
bloqueo
Diodos
de paso
Captulo 1 _ EERGAS REOVABLES: Inversores Fotovoltaicos Conectados a Red.

1-10
Cuando se conectan en paralelo varias ramas de mdulos fotovoltaicos, puede darse el
caso que alguna de ellas resulte severamente sombreada o deteriorada, y que la corriente
a travs de otra rama derive hacia sta. Los diodos de bloqueo conectados en serie en
cada una de las ramas en paralelo evitan el paso de corriente en sentido inverso,
aislando las ramas defectuosas.

Los diodos de paso en paralelo con cada mdulo se aaden para evitar la prdida de
potencia del generador cuando uno de los mdulos limita la corriente de su serie. En
este caso aparecen mximos locales de potencia en la caracterstica corriente-tensin del
generador. Este fenmeno se observa a partir de los estudios mostrados en [T.1] y se
puede representar mediante la siguiente figura:


v
+
1000W/m
2
400W/m
2
100W/m
2



Figura 1.8. Aparicin de mximos locales de potencia debidos al sombreado de paneles conectados
en serie.

Este fenmeno se puede producir con facilidad cuando se interconectan en serie una
gran cantidad de paneles para alcanzar valores de tensin elevados.

Las situaciones de sombreado parcial o total se intentan solucionar mediante la
incorporacin de sistemas de seguimiento que permiten posicionar los diferentes en el
punto donde incide mayor irradiancia. Sin embargo, esto no garantiza una irradiancia
uniforme para todos ellos.

Durante el desarrollo de esta tesis se consideraran tres situaciones de irradiancia:

- Condicin estndar de medida: 1000 W/m
2
.

- Condicin nominal de operacin: 800 W/m
2
.

- Condicin de sombreado: 500 W/m
2
.







Captulo 1 _ EERGAS REOVABLES: Inversores Fotovoltaicos Conectados a Red.

1-11
1.4. El sistema procesador de potencia.

El sistema procesador de potencia es el elemento encargado de transformar la energa
entregada por el conjunto fotovoltaico y adaptarla a las necesidades de la instalacin
elctrica. Este sistema debe garantizar la mxima extraccin de potencia de los
generadores fotovoltaicos y su procesado con mximo rendimiento.

En la siguiente figura se muestra la ubicacin del sistema procesador de potencia dentro
de la cadena de conversin de energa:

























Figura 1.9. Ubicacin del sistema procesador de potencia en la cadena de produccin de energa
elctrica.

Esta tesis se centra en los sistemas procesadores de potencia destinados a la
transferencia de energa a la red elctrica monofsica. Estos dispositivos se conocen con
el nombre de inversores fotovoltaicos. En la bibliografa, [A.19], [L.6], entre otros, se
pueden encontrar diferentes topologas que se eligen en funcin de los requisitos
necesarios en cada caso.

En general, un inversor fotovoltaico conectado a red debe ser capaz de:

- Extraer la mxima potencia de los generadores. Se consigue haciendo que el
generador fotovoltaico trabaje en su punto de mxima potencia y garantizando el
seguimiento si ste vara.
Campo paneles fotovoltaicos
Inversor
Regulador
Paneles fotovoltaicos
Consumo de corriente
alterna
Acumulador
Red elctrica
Sistema procesador de potencia
Sistema
procesador de
potencia
Captulo 1 _ EERGAS REOVABLES: Inversores Fotovoltaicos Conectados a Red.

1-12
- Reducir las prdidas de conversin. El sistema debe ser de alto rendimiento
utilizando convertidores de potencia conmutados y reduciendo el nmero de
etapas de conversin.

- Transferir la potencia a la red. Se realiza mediante la inyeccin de corriente
alterna a la red. La corriente inyectada debe estar en fase con la tensin, es decir,
el factor de potencia debe ser unitario.

- El contenido armnico de la corriente inyectada debe cumplir las normativas
europeas (EN-61000-3-2, EN-61000-3-4, EN-50081-1, EN-50081-1).

Para conseguir cumplir estos requisitos es indispensable determinar la arquitectura ms
adecuada para cada situacin y como se agrupan los mdulos fotovoltaicos. Adems, el
inversor fotovoltaico debe disponer de un sistema de control que permita monitorizar
las variables de estado y actuar convenientemente para mantener el rgimen
estacionario del sistema en el punto de mxima potencia.



1.5. Planteamiento del trabajo y antecedentes.

En los siguientes puntos se plantean los objetivos que se pretenden conseguir en este
trabajo y los antecedentes que motivan a su realizacin. En base a estudios presentados
en tesis previas y en publicaciones, se considera necesaria la implementacin en el
laboratorio de una estructura que permita validar experimentalmente los diseos
obtenidos mediante las teoras de control utilizadas.


1.5.1. Eleccin de la arquitectura inversora.

Una vez descrito el generador fotovoltaico y el procesador de potencia, se plantea en
este punto la eleccin de una arquitectura inversora que permita transferir a la red la
mxima potencia posible. Para ello se plantean los siguientes puntos:

El generador fotovoltaico debe estar formado por el menor nmero de paneles
posible para minimizar los efectos de sombreado parcial y, por consiguiente,
evitar la aparicin de varios mximos locales en la curva caracterstica de
potencia.

Cada generador fotovoltaico debe trabajar en el punto de mxima potencia con
el fin de entregar a la red elctrica la mayor cantidad de energa posible.

El inversor fotovoltaico debe tener una buena eficiencia. Para ello, hay que
elegir una estructura que est formada por el menor nmero de etapas posible o
bien disponer de estrategias de control que permitan reducir el consumo de
potencia en el inversor.

La energa generada se debe entregar a la red elctrica en forma de corriente
alterna y con factor de potencia unitario.

Captulo 1 _ EERGAS REOVABLES: Inversores Fotovoltaicos Conectados a Red.

1-13
Se pueden considerar diversas arquitecturas para el sistema inversor:

Inversor central:











Figura 1.10. Arquitectura de un inversor central.

Esta estructura es ampliamente utilizada para sistemas fotovoltaicos conectados a red
por su bajo coste, pues est formada por una nica etapa de conversin DC-AC. Sin
embargo, cuando el nmero de paneles que conforman el generador fotovoltaico crece,
es difcil que todos ellos tengan las mismas condiciones de irradiancia y temperatura. La
diferencia en las condiciones ambientales de los paneles provoca la aparicin de
mximos locales de potencia sobre la curva caracterstica y conlleva a la prdida de
potencia til a transferir a la red elctrica, tal y como se muestra en [A.1].

Esta topologa solamente se considera en este trabajo como introduccin al anlisis del
sistema inversor, extrapolndose luego a la arquitectura multinivel.


Arquitectura AC module:




















Figura 1.11. Arquitectura AC module.

>350V

Red elctrica
(220V
RMS
)
Red elctrica
(220V
RMS
)
Captulo 1 _ EERGAS REOVABLES: Inversores Fotovoltaicos Conectados a Red.

1-14
Las arquitecturas que incluyen una etapa de conversin DC-DC para elevar la tensin
de salida del generador fotovoltaico permiten reducir el nmero de paneles por
generador. Esta reduccin favorece la consecucin de unos niveles de irradiancia y
temperatura similares en cada conjunto fotovoltaico por separado, minimizando la
aparicin de mximos locales. No obstante, es necesario tener una etapa DC-DC para
elevar la tensin y una etapa inversora (DC-AC) para generar la corriente que se inyecta
a la red.

La eficiencia global de este tipo de arquitectura queda penalizada ya que hay que
considerar las prdidas en cada una de las etapas.


Arquitectura AC-serie:





















Figura 1.12. Arquitectura AC serie.

La arquitectura AC-serie permite reducir el nmero de paneles fotovoltaicos en cada
generador. Como requisito de diseo hay que cumplir que la suma de tensiones de
entrada de cada etapa sea mayor que la tensin de la red elctrica.

La eficiencia global del sistema queda determinada por las prdidas de cada etapa
inversora de entrada, pero en este caso, solamente hay una etapa por entrada.

Esta estructura inversora permite cumplir los requisitos fijados anteriormente, sin
embargo, es preciso disponer de un sistema de control que permita independizar los
puntos de trabajo de las diferentes etapas, ya que cada una de ellas puede estar sometida
a condiciones ambientales distintas.



Red elctrica
(220V
RMS
)
Captulo 1 _ EERGAS REOVABLES: Inversores Fotovoltaicos Conectados a Red.

1-15
1.5.2. Definicin de la estrategia de control.

La estrategia de control se elegida est basada en los estudios presentados por [T.1],
[A.1], [A.3] y [A.4] donde se describe una estructura inversora multinivel formada por
etapas conectadas en cascada con el fin de elevar la tensin a un valor superior al de la
red. El diagrama de control que se propone se representa en la siguiente figura:



Figura 1.13. Diagrama de control propuesto para la estructura inversora multinivel.

El control de esta configuracin se disea a partir de un modelo discreto lineal basado
en las ecuaciones de balance de energa en un periodo de red. Cada puente completo
est asociado a un algoritmo de bsqueda del punto de mxima potencia (MPPT
Maximum Power Point Tracking) y a un bloque de control discreto. Este ltimo debe
generar una seal K
n
que es funcin de la potencia mxima que el generador
fotovoltaico asociado al puente respectivo puede suministrar a la red. A partir de la
suma de seales K
1
, K
2
, , K
n
se obtiene el valor de escalado (K) necesario para
Captulo 1 _ EERGAS REOVABLES: Inversores Fotovoltaicos Conectados a Red.

1-16
determinar la referencia de la amplitud de corriente que se entrega a la red. El valor de
K es proporcional a la potencia mxima que pueden generar todos los paneles.

El ciclo de trabajo d es procesado por un bloque modulador con el fin de obtener las
seales de control de las diferentes etapas. Segn la tcnica de modulacin aplicada se
consigue que el sistema tenga unas prestaciones u otras.

En [T.1] se plantean dos modulaciones a frecuencia fija:

- Phase-Shifted Pulse Width Modulation (PS-PWM): Orientada a disminuir el
rizado de conmutacin sobre la corriente inyectada a medida que aumenta el
nmero de etapas de entrada del inversor multinivel.

- Phase Dispositon Pulse Width Modulation (PD-PWM): Permite reducir el
nmero de conmutaciones en los puentes y as mejorar el rendimiento global del
sistema.



1.5.3. Objetivos.

El objetivo de este trabajo es la implementacin de un inversor para sistemas
fotovoltaicos conectados a red, basado en una estructura AC-serie. Esta estructura estar
formada por tres etapas de entrada a las que se conectan tres generadores fotovoltaicos.

Se disear el sistema de control de las diferentes etapas de entrada mediante lazos de
control externos que permitan posicionar el punto de trabajo de cada etapa en funcin
de una consigna. Dicha consigna se fijar externamente emulando la accin de un
algoritmo MPPT.

La energa procedente de los generadores fotovoltaicos ha de ser transferida a la red
elctrica en forma de corriente alterna, la cual deber estar en fase con la tensin de red
consiguiendo un factor de potencia unitario. Para ello, se implementar un lazo de
control interno basado en un controlador proporcional-resonante a frecuencia de red
(controlador PR).

Las seales de control que actan sobre las diferentes etapas de potencia de entrada
deben ser generadas a partir de un bloque modulador basado en los estudios presentados
en [T.1]. Se considerarn dos tipos de modulacin a frecuencia fija: Phase-Shifted
Pulse Width Modulation (PS-PWM) y Phase Dispositon Pulse Width Modulation
(PD-PWM).

Se requiere el anlisis de las estrategias de control mediante simulacin con
Matlab/Simulink con el objetivo de estudiar diferentes casusticas y determinar el
comportamiento del sistema.

Los algoritmos de control se implementarn mediante el uso de una FPGA Spartan-3 de
Xilinx y de la circuitera adicional que sea necesaria.

Captulo 1 _ EERGAS REOVABLES: Inversores Fotovoltaicos Conectados a Red.

1-17
Al no disponer de generadores fotovoltaicos reales, se propone el uso de tres
emuladores de panel fotovoltaico comerciales (este aspecto limita el nmero de etapas
de entrada a tres como mximo).

Cuando la implementacin del sistema se d por finalizada, se deben validar los
algoritmos de control propuestos comparando los resultados obtenidos mediante las dos
modulaciones PS y PD.


Con el fin de detallar los pasos seguidos hasta alcanzar los objetivos, se ha dividido el
trabajo en seis captulos:

- Captulo 2: Se analizan los sistemas inversores Inversor Central y AC-serie
de n etapas con el fin de obtener las ecuaciones de funcionamiento del sistema
y determinar la metodologa de control. El sistema de control se divide en tres
partes, un MPPT, un lazo de control externo y un lazo de control interno.
Siguiendo los estudios presentados en [T.1], se plantea la metodologa de
modulacin a frecuencia fija (PS-PWM y PD-PWM) para conseguir que el
inversor AC-serie trabaje en modo multinivel y se independice el punto de
trabajo de las diferentes etapas de entrada.

- Captulo 3: Se disean los parmetros del sistema de control para un inversor
central y para un inversor multinivel. Mediante Matlab/Simulink se verifica el
correcto funcionamiento y se comparan los resultados obtenidos con cada una de
las dos modulaciones presentadas.

- Captulo 4: En este captulo se detallan los aspectos relativos a la
implementacin del inversor multinivel de tres etapas y del sistema de control.
Se describe el entorno de laboratorio, las herramientas desarrolladas para
controlar los equipos, los circuitos hardware y los bloques de cdigo
programados en una FPGA.

- Captulo 5: Se calculan los parmetros del sistema de control para el prototipo
implementado en el laboratorio y se presentan los resultados obtenidos durante
la evaluacin, comparando las modulaciones PD y PS. Se valida el correcto
funcionamiento del sistema de control sobre una plataforma real.

- Captulo 6: Se presentan las conclusiones del trabajo y se proponen futuras
ampliaciones.


Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-1
CAPTULO 2











Definicin Terica de las Etapas Inversoras y
del Sistema de Control.

















Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-2

































Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-3
Resumen

En este captulo se presenta el anlisis de los sistemas inversores definidos
anteriormente como candidatos a estudio Inversor Central y AC-serie de n etapas
tomando especial inters en los casos de dos y tres etapas que posteriormente podrn
ser implementados en el laboratorio. Este anlisis obtiene las ecuaciones de
funcionamiento del sistema y, a partir de ellas, determina la metodologa de control que
fije el funcionamiento del inversor consiguiendo cumplir dos requisitos bsicos:

- Extraer la mxima energa posible de los paneles fotovoltaicos haciendo que el
inversor trabaje en el punto de mxima potencia de la curva del panel.

- Transferir dicha energa a la red elctrica mediante inyeccin de corriente con
factor de potencia unitario, es decir, la corriente deber ser sinusoidal y estar
en fase con la tensin de red.

Para plantear el estudio se divide el captulo en tres apartados que se corresponden
con cada una de las estructuras inversoras. En estos apartados se describe la
estructura en cuestin y se plantea la metodologa de control que se ha dividido en tres
partes, un MPPT, un lazo de control externo y un lazo de control interno.

La metodologa presentada para el inversor central es despus extrapolada para el
control del inversor multinivel (AC-serie) de dos y tres etapas y se dedica atencin a la
inclusin de un bloque modulador utilizado para obtener la seal de control que
mantenga el sistema en el punto de trabajo deseado. Dicho bloque se sita en la salida
del lazo de control interno.























Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-4
2.1. Modelo y sistema de control del Inversor Central.


La topologa conocida como Inversor Central se caracteriza por la utilizacin de una
sola etapa de potencia que realiza una conversin DC-AC. El hecho de disponer de una
sola etapa hace necesario conectar todos los conjuntos de paneles fotovoltaicos ya sea
en serie o paralelo hasta conseguir la curva de potencia deseada. Dicha curva de
potencia fija las variables de entrada del sistema i
PV
y v
PV
(corriente y tensin en bornes
del conjunto fotovoltaico).

La siguiente figura muestra el esquema de esta arquitectura:














Figura 2.1. Inversor central.

Como se puede observar en la figura, la estructura se corresponde con el estudio de una
etapa Buck con puente completo de transistores capaz de darnos una seal sinusoidal
de salida a partir de una tensin continua de entrada. La caracterstica reductora en
tensin propia de este tipo de etapas hace que sea necesario conseguir una tensin DC
en bornes del conjunto fotovoltaico (v
PV
) de valor mayor a la tensin de pico de la red
elctrica.

Antes de definir las ecuaciones de funcionamiento de la etapa se debe fijar la secuencia
de conmutacin de los transistores del puente en H, segn se muestra en la tabla 2.1.


u S
1
S
2
S
3
S
4
V
H

1 OFF ON ON OFF +v
PV

0 ON OFF ON OFF 0
0 OFF ON OFF ON 0
-1 ON OFF OFF ON -v
PV


Tabla 2.1. Secuencia de conmutacin unipolar (tres estados).




Puente en H

Agrupacin
de paneles
fotovoltaicos

Red elctrica
220V
RMS
(50Hz)

i
C
C
v
g
S
1a
S
3a
S
2a
S
4a
L

i
PV
i
dc
v
PV v
dc
v
H
i
L
Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-5
A partir de esta secuencia de conmutacin se puede ver que el inversor tiene cuatro
topologas distintas:



= = =
= = =
L PV dc PV
dc
C
g dc g H
L
L
i i i i
dt
dv
C i
v v v v
dt
di
L v





Figura 2.2. Topologa del inversor central para u = 1.







= = =
= = =
PV dc PV
dc
C
g g H
L
L
i i i
dt
dv
C i
v v v
dt
di
L v










Figura 2.3. Topologas del inversor central para u = 0.




+ = = =
= = =
L PV dc PV
dc
C
g dc g H
L
L
i i i i
dt
dv
C i
v v v v
dt
di
L v






Figura 2.4. Topologa del inversor central para u = -1.
.

S
3a
C
v
g
S
1a
S
2a
S
4a
L

i
PV
i
dc
i
C
v
PV v
dc
v
H
i
L
C
v
g
S
1a
S
3a
S
2a
S
4a
L

i
PV
i
dc
i
C
v
PV v
dc
v
H
i
L
C
v
g
S
1a
S
3a
S
2a
S
4a
L

i
PV
i
dc
i
C
v
PV v
dc
v
H
i
L
C
v
g
S
1a
S
3a
S
2a
S
4a
L

i
PV
i
dc
i
C
v
PV v
dc
v
H
i
L
Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-6
Unificando las diversas topologas en funcin de la variable de control u se obtiene la
ecuacin general que relaciona las variables de estado.

= =
= =
L PV
dc
C
g dc
L
L
i u i
dt
dv
C i
v v u
dt
di
L v




A partir de este sistema de ecuaciones queda definido el funcionamiento total del
sistema siendo las variables de entrada la corriente de salida del panel (i
PV
) y la tensin
en bornes del mismo (v
PV
= v
dc
). Sin embargo, el anlisis matemtico de estas
ecuaciones es de difcil resolucin puesto que la corriente entregada por el panel (i
PV
)
depende, mediante una relacin no lineal, de la temperatura, de la irradiancia y de la
tensin en bornes. Si observamos la ecuacin presentada a continuacin, queda
claramente reflejada la dependencia no lineal entre los diferentes parmetros.

= = 1 ) ( ) , (

t
PV
V
v
satc gc D gc PV
e I I T I T G I i



Donde:

V
t
es la tensin trmica que se define como:

e
T k
V
t

=

k = constante de Boltzmann (1,3810
-23
J/K ).
T = temperatura en grados Kelvins.
e = carga del electrn (1.610
-19
C)

es una constante que representa el coeficiente de emisin que vara entre 1 y 2
dependiendo del proceso de fabricacin.

I
gc
es la corriente inducida por la luz y por tanto depende de la irradiancia (G) y de la
temperatura (T)

I
D
es la corriente a travs del diodo formado por la unin p-n de la clula.

La dificultad analtica del sistema de ecuaciones hace que se plantee en los siguientes
puntos un anlisis matemtico aproximado y ms simple que se basa en el balance de
energa en un ciclo de red para encontrar un modelo lineal que defina el funcionamiento
en rgimen dinmico del sistema inversor.







Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-7
2.1.1. Modelado del sistema a partir del concepto de balance
energtico.


A partir del estudio del balance energtico del sistema se pretende simplificar las
ecuaciones de estado y obtener unas ecuaciones que puedan ser evaluadas
matemticamente. Est metodologa de anlisis se puede encontrar en diversos trabajos
[A.21], [A.22] donde se utiliza para el diseo de controladores de factor de potencia en
prerreguladores de alta potencia. Tambin podemos encontrar referenciada esta tcnica
en el libro Principles of Power Electronics [L.5].

Para poder encontrar un modelo del conjunto inversor mediante el balance energtico se
deben considerar diversas hiptesis:

1) Balance de energa: se mantendr la relacin entre la potencia de entrada, la
potencia almacenada en los elementos reactivos y la potencia de salida.

out inv in
P P P + =

Donde:

P
in
es la potencia de entrada entregada por la agrupacin de paneles
fotovoltaicos.

P
inv
es la potencia almacenada en los elementos reactivos del inversor.

P
out
es la potencia de salida que se entrega a la red elctrica.

Desarrollando la expresin se obtiene:

( )
L g L L C dc PV PV
i v i v i v v i + + =

El trmino v
g
i
L
expresa la potencia entregada a la red (P
out
).

Sustituyendo i
C
y v
L
por la derivada de las variables de estado:

L g L
L dc
dc PV PV
i v i
dt
di
L
dt
dv
C v v i + |

\
|
+ =

Se supone que el sistema es ideal puesto que no se incluyen en la ecuacin las
prdidas (P
perd
). Para el caso real, se debera modificar la ecuacin incluyndolas
y por tanto, la potencia inyectada a la red sera menor.

out perd inv in
P P P P + + = ( )
perd inv in out
P P P P + =




Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-8
2) Se considera que la tensin de la red y la corriente que se inyecta son
sinusoidales y estn en fase.

( ) sin t A v
g g g
= para
g g
f 2 =

Siendo f
g
la frecuencia de la red elctrica que en el caso de Europa es de 50 Hz.

Hz
T
f
g
g
50
1
= =

A partir de la consideracin anterior, la corriente inyectada deber cumplir la
ecuacin:
( ) sin t A K v K i
g g g L
= =

Siendo K una variable de escalado de amplitud variante en funcin de la energa
de entrada para que se cumpla la ecuacin de balance energtico en todo
momento. De este modo, si vara la potencia de entrada, la variable K cambiar
para escalar debidamente la potencia entregada a la red.


La ecuacin que se obtiene a partir de la aplicacin de estas dos hiptesis es:

( )
g g g
g
dc
dc PV PV
v K v v K
dt
v K d
L
dt
dv
C v v i

+ + =
( ) ( )
( ) ( ) ( ) t A K t A t A K
dt
t A K d
L
dt
dv
C v v i
g g g g g g
g g
dc
dc PV PV
sin sin sin
sin

+ + =
( ) ( ) ( ) t A K t t A K L
dt
dv
C v v i
g g g g g g
dc
dc PV PV
sin sin cos
2 2 2 2
+ + =

teniendo en cuenta las relaciones trigonomtricas siguientes:

( ) ( ) ( ) A A A cos sin 2 2 sin =
( )
( )
2
2 cos 1
sin
2
A
A

=

se obtiene la ecuacin general del sistema inversor central.

( )
( )
|
|

\
|
+ + =
2
2 cos 1
2 sin
2


2
2 2
t
A K t
A K L
dt
dv
C v v i
g
g g
g g
dc
dc PV PV



( )
( )
2
2 cos
2

2 sin
2


2 2 2 2
t A K A K
t
A K L
dt
dv
C v v i
g g g
g
g g
dc
dc PV PV

+ + =

Utilizando la teora de balance energtico se ha obtenido una ecuacin que describe la
dinmica del sistema y que no depende de la variable de control (u). La informacin de
la dinmica del panel fotovoltaico sigue existiendo y, por tanto, la no linealidad.

Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-9
Para poder seguir adelante con el anlisis de la ecuacin se plantean en este momento
dos hiptesis adicionales:

1) Se plantea un estudio discreto de la ecuacin del sistema con la finalidad de
poder eliminar las componentes sinusoidales de frecuencia doble a la de la red.

2) Ser necesario linealizar la ecuacin del panel para poder incluirla en el modelo
lineal discreto del sistema.



2.1.1.1. Modelo del sistema inversor central promediado en un periodo
de red.

El modelo promediado del sistema inversor central se puede obtener considerando la
ecuacin de balance energtico e integrando en un periodo de red. Para ello es necesario
considerar una nueva hiptesis que se basa en la no variacin de la energa entregada
por el conjunto fotovoltaico en un periodo de red y por tanto la variable de
proporcionalidad K() quedar definida dentro del intervalo de muestreo como:

( ) ( )
g
T n K K 1 ) ( = ( ) ( ) ( )
g g
T n t T n 1 siendo T
g
un periodo de red.

Por tanto:

( ) ( ) ( ) ( ) ( ) sin 1 1 t A T n K v T n K i
g g g g g L
= =

Esta consideracin se puede realizar sin grandes problemas puesto que el periodo de red
tiene un tiempo de 0.02 segundos y las variaciones que pueden afectar al panel
fotovoltaico son fenmenos fsicos que tienen una constante de tiempo larga.

La ecuacin resultante al integrar en un periodo de red se muestra a continuacin:

( ) ( )
( ) ( ) [ ]
( )
( )
( ) ( )
( )
( ) ( ) ( )
( )
dt
t A T n K
dt
A T n K
dt t
A T n K L
dt
dt
dv
C v dt v i
g
g
g
g
g
g
g
g
g
g
T n
T n
g g g
T n
T n
g g
T n
T n
g
g g g
T n
T n
dc
dc
T n
T n
PV PV

2
2 cos 1

2
1
2 sin
2
1

1
2

1
2

1
2 2

+ =



Evaluando la integral se obtiene:

( )
( ) ( ) ( ) { }
( ) ( ) [ ] ( )
( )
( ) ( )
[ ]
( )
( ) ( ) ( )
( )
g
g
g
g
g
g
g
g
T n
T n
g
g g g T n
T n
g g
T n
T n
g
g g g g
g dc g dc
T n
T n
PV PV
t A T n K
t
A T n K
t A T n K L
T n v T n v
C
dt v i

1
2

1
2

1
2 2
2 2

1
2
2 sin
2
1
2
1
2
2 cos

2
1
1
2

+
+


+ =





Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-10
Simplificando las expresiones y definiendo E
PV
como la energa entregada por el
conjunto fotovoltaico en un periodo de red:

( ) ( ) ( ) { }
( ) ( )
g
g g
g dc g dc PV
T
A T n K
T n v T n v
C
E
2
1
1
2
2
2 2

+ =

Los trminos de esta ecuacin representan la distribucin de energa en el sistema tal y
como se describe a continuacin:









La energa almacenada en el condensador presente en la entrada del inversor central
ser:

( ) ( ) ( ) { }
( ) ( )
g
g g
PV g sto g sto
T
A T n K
E T n E T n E
2
1
1
2

=

definiendo E
sto
como:

) (
2
) (
2
t v
C
dv v C dt v
dt
dv
C dt v i t E
dc
t
dc dc
t
dc
dc
t
dc C sto
= = = =






) (
2
) (
2
g dc g sto
T n v
C
T n E =

















( ) ( ) ( ) { }
( ) ( )
g
g g
g dc g dc PV
T
A T n K
T n v T n v
C
E
2
1
1
2
2
2 2

+ =
Energa entregada por
el panel fotovoltaico.

Energa almacenada en
el condensador.
Energa entregada a la
red elctrica.
Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-11
2.1.1.2. Modelo discreto del panel fotovoltaico.

El panel fotovoltaico, tal y como se ha visto en apartados anteriores y en la bibliografa
[A.23], se puede caracterizar en su forma ms simple por una fuente de corriente y una
unin p-n (un diodo).













Figura 2.5. Modelo ideal de una clula fotovoltaica.


En base a este modelo simplificado, la ecuacin de funcionamiento es:

= = 1 ) ( ) , (

t
PV
V
v
satc gc D gc PV
e I I T I T G I i



Siguiendo la metodologa utilizada en el punto 2.1.1.1, donde se obtiene una ecuacin
del sistema fotovoltaico en funcin de la energa, se considera apropiado realizar la
linealizacin del panel fotovoltaico en funcin de la energa entregada en un periodo y
no de la corriente. Para ello se modifica la expresin anterior:

( ) ( )
dt v e I I dt v i E
g
g
t
PV g
g
T n
T n
PV
V
v
satc gc
T n
T n
PV PV PV
1

1


)

= =




Los trminos I
gc
, I
satc
y V
t
dependen de las condiciones atmosfricas y de la fabricacin
del propio panel fotovoltaico. Tendrn un valor definido pero no se podr actuar sobre
ellas mediante la accin de control. Su variacin temporal tiene una constante de tiempo
mayor que el periodo de red (0.02 sec.).

Sin embargo, el trmino v
PV
, si puede ser modificado a travs de la accin de control y
depende directamente del punto de trabajo del sistema inversor. Por este motivo, se
linealiza el conjunto fotovoltaico con respecto de esta variable.






I
gc
i
PV
v
PV v
PV
i
PV
Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-12
Antes de realizar la linealizacin expresaremos la ecuacin en trminos de energa:

( ) ( )
dt E
C
e I I dt E
C
i E
g
g
t
sto
g
g
T n
T n
sto
V
E
C
satc gc
T n
T n
sto PV PV

2
1
2

= =


( )
( )
dt e I E
C
I I E
C
E
g
g
t
sto
T n
T n
V
E
C
satc sto satc gc sto PV

2

+ =


( )
g
V
E
C
satc sto g satc gc sto PV
T e I E
C
T I I E
C
E
t
sto

2

2

+ =



Seguidamente se desarrolla la ecuacin anterior mediante series de Taylor y en funcin
de E
sto
. La serie de Taylor se define en [L.4] como:

( ) ( ) ( )( )
( )
( )
( )
( )
( ) ( ) a x R a x
k
a f
a x
a f
a x a f a f x f
k
k
k
,
!
.....
! 2
' '
'
2
+ + + + + =

donde

( )
( )
( )
( )

=
x
a
k
k
k
dt t f
k
t x
a x R
1

!
,

es residuo. Para x prximo a a, este error R
k
(x,a) es pequeo, es decir, tiende a cero.

Con la finalidad de disponer de una aproximacin lineal alrededor del punto de trabajo
(E
sto
*
) solamente interesa la serie de Taylor de primer orden que quedar expresada
como:

( ) ( ) ( )
* *

*
sto sto
E E
sto
PV
sto PV sto PV
E E
dE
dE
E E E E
sto sto

|
|

\
|
+
=


Siguiendo la notacin que se presenta en [T.1] se definen las siguientes variables:

( )
( )
dt
dE
dP
dE
dE
m E E E
g
g
sto sto sto sto
T n
T n
E E
sto
PV
E E
sto
PV
sto PV PV
;

1
* *
* *

= = |
|

\
|
=
|
|

\
|
= =

La ecuacin anterior puede reescribirse de forma compacta como:

( )
* *

sto sto PV PV
E E m E E +


Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-13
El valor E
pv
*
se calcula a partir de la expresin de la energa evaluada en el punto de
trabajo:

( )
g
V
E
C
satc sto g satc gc sto PV
T e I E
C
T I I E
C
E
t
sto

2

2

2
* * *
*

+ =



Definiendo ( )
t
c satc b satc gc a
V C
I
C
I I
C
1

2
;
2
;
2

= = + = , tendremos:

( )
g
E E
sto
E
sto b sto a
E E
sto
PV
T
dE
e E E d
dE
dE
m
sto sto
sto c
sto sto


* *

= =

=
|
|

\
|
=

\
|
|

\
|
+ =
*
*
1
2
*
sto c
E
b a
sto
g
E e
E
T
m
sto c





2.1.1.3. Modelo lineal discreto del sistema inversor.

La obtencin del modelo lineal discreto del sistema inversor se consigue aplicando la
transformada Z al conjunto de ecuaciones obtenidas en los dos puntos anteriores.

( ) ( ) ( ) { }
( ) ( )
g
g g
PV g sto g sto
T
A T n K
E T n E T n E
2
1
1
2

=

siendo:

( )
* *

sto sto PV PV
E E m E E +

Realizando la transformacin y utilizando la notacin X z X

) ( = para las variables


transformadas al dominio Z:

{ }
g
g
PV sto sto
T
A z K
E z E E
2


2 1
1

=
g
g
PV sto
T
z
A K
E
z
z
E
2

2
= |

\
|

( ) 1 2

\
|

=
z
T A K
z
z
E E
g g
PV sto


( ) ( )
( ) 1 2


2
* *

\
|

+ =
z
T A K
z
z
E E m E E
g g
sto sto PV sto


Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-14
Para finalizar el estudio del modelo y poder mostrar grficamente el comportamiento
del sistema para su posterior control, se representa el diagrama de bloques en lazo
abierto.

















Figura 2.6. Diagrama de bloques del modelo discreto lineal del sistema inversor.


A modo de resumen se puede destacar que se ha obtenido un modelo discreto lineal que
permite la aplicacin de tcnicas de control sobre el sistema inversor inicial. Se ha
podido encontrar una metodologa de clculo evitando las dificultades analticas debidas
a las no linealidades del panel.

Sin embargo, se debe resaltar que el modelo tiene validez siempre que se mantenga el
funcionamiento alrededor del punto de trabajo, no considerando por tanto toda la
dinmica del conjunto fotovoltaico (debido a la linealizacin en series de Taylor de
primer orden). Adems, los diferentes algoritmos de control que se apliquen sobre el
sistema para posicionar el punto de trabajo estarn definidos en un intervalo discreto
igual al periodo de red, no contemplndose la dinmica dentro del mismo.

Para llegar a este modelo se ha considerado que la corriente i
L
que se inyecta a la red es
sinusoidal y est en fase con la red elctrica. Esta suposicin implica que el lazo de
control interno deba ser rpido y garantice la forma de onda y el desfase nulo con la red.

Otra hiptesis que se ha utilizado es que la energa en un periodo de red debe
mantenerse, as, se mantiene el valor de K estable en un periodo y aseguramos la forma
de onda sinusoidal en la corriente inyectada. Es necesario por tanto que el algoritmo de
seguimiento del punto de mxima potencia tenga una dinmica ms lenta que el control
de energa almacenada en el condensador.






sto
E

PV
E
( ) 1 2

2
z
T A
g g
|

\
|
1 z
z
|

\
|
1 z
z
*

sto
E
K

m
Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-15
2.1.2. Diseo del sistema de control para el Inversor Central.


El sistema inversor, una vez modelado mediante el concepto de balance energtico, se
puede analizar y controlar mediante la definicin de tres bloques claramente
diferenciados:

a) MPPT: del ingls Maximum Power Point Tracking se encarga de definir el
valor de la tensin del punto de trabajo para el cual la potencia en bornes del
panel es mxima. Esta informacin es transferida al lazo de control externo.

b) Lazo externo: tiene la misin de evaluar la energa mxima que puede entregar
el conjunto fotovoltaico en un periodo de red considerando el punto de trabajo
indicado por el MPPT. Esta informacin se debe transferir al lazo interno
validando que ( ) ( ) ( )
g sto g sto
T n E T n E 1 = con lo cual toda la energa que genera el
panel fotovoltaico es transferida a la red elctrica.

c) Lazo interno: se encarga de obtener la seal control que hace conmutar los
Mosfets de forma adecuada para conseguir la mxima transferencia de potencia
y la inyeccin de corriente en la red con factor de potencia unitario (misma
frecuencia y fase que la tensin de red).


Un esquema simplificado de la estructura que se pretende disear es el que se muestra
en la siguiente figura:


















Figura 2.7. Esquema simplificado de la estructura de control.






Puente en H

i
PV
v
PV v
dc
v
H
i
L
C
v
g
S
1a
S
3a
S
2a
S
4a
L

MPPT
V
dc
*
Lazo de control
externo
K

Lazo de control
interno
u

Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-16
2.1.2.1. MPPT.

El bloque de control MPPT (Maximum Power Point Tracking) se encarga de
monitorizar las variables i
PV
y v
PV
con la finalidad de determinar el punto de trabajo del
conjunto fotovoltaico para el cual la potencia en bornes sea mxima.

Se han realizado muchas investigaciones y artculos con la finalidad de perfeccionar el
funcionamiento de estos sistemas y por ello no se considera necesario en esta tesis
volver a profundizar en este apartado del sistema de control. A partir de este momento,
se considerar en todos los casos que el MPPT funciona correctamente y que es capaz
de generar una variable de salida V
dc
*
la cual indica la tensin de referencia en el punto
de mxima potencia.



























Figura 2.8. Algoritmo MPPT en inversores fotovoltaicos.


Cualquier diferencia en la temperatura o la irradiancia sobre la superficie de los paneles,
provoca una modificacin de la curva de potencia en bornes del conjunto fotovoltaico y,
por tanto, la variacin del punto de mxima potencia. El MPPT tiene la misin de
volver a posicionarse en el nuevo valor mximo de potencia.




0 50 100 150 200 250 300 350 400 450 500
0
500
1000
1500
2000
2500
P
o
t
e
n
c
i
a

[
W
]
VPV [V]


Irr 1000
V
dc
*

Punto de mxima
potencia.
v
PV

Algoritmo
MPPT V
dc
*

v
dc

i
PV


Inversor
y
Sistema de Control
Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-17
2.1.2.2. Diseo del lazo de control externo.


El lazo de control externo tiene la misin de, a partir de la informacin recibida por el
MPPT, hacer que el sistema se posicione en el punto de trabajo indicado (punto de
mxima potencia).

Este bloque de control no produce una accin directa sobre los Mosfets del puente
inversor y por tanto no puede ejercer una funcin por s mismo. Sin embargo, su
funcin es muy importante dentro del conjunto global del sistema.

A partir de la tensin de referencia (V
dc
*
) que recibe del bloque MPPT y de la
monitorizacin de la tensin en bornes del condensador de entrada del puente (v
dc
= v
PV
)
ha de ser capaz de extraer la informacin de cuanta energa est entregando el panel
fotovoltaico e informar al lazo de control interno para que inyecte mayor o menor
corriente segn convenga para mantener el punto de trabajo en mxima potencia.

Para conseguir estos objetivos, siguiendo los estudios realizados en [T.1] y [T.2], se
propone incorporar un bloque de control discreto definido como
C
G

el cual recibir
como entrada la seal de error entre la energa almacenada en el condensador y la que
realmente debera estar almacenada si se encontrara en el punto de mxima potencia. El
diagrama de bloques en lazo abierto presentado en 2.1.1.3 queda, por tanto, modificado
como se muestra a continuacin.

















Figura 2.9. Diagrama de bloques del sistema de control externo sobre la planta.


Aunque la salida real del bloque de control es la variable ,

K se representa el diagrama
de bloques con la salida
sto
E

ya que se debe estudiar la estabilidad del sistema para


validar el margen de trabajo sobre la curva del panel.




C
G

( ) 1 2

2
z
T A
g g
K

sto
E

PV
E
|

\
|
1 z
z
|

\
|
1 z
z
*

sto
E
m
Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-18
La ecuacin caracterstica que define el diagrama de bloques en lazo cerrado es:

( )
|
|

\
|

\
|

=
1

5 . 0
1


1

2
* *
z
T A G
z
z m
E E
z
z
E E
g g C
sto sto PV sto


Si despejamos
sto
E

se llega a la siguiente expresin:



)

5 . 0 ( 1
)

5 . 0 (

2
2 * *
g g C
g g C sto PV
sto
T A G z m z
T A G z m E z E
E
+
+
=

Como se puede observar, la salida
sto
E

depende de
*

PV
E y
*

sto
E . Estas dos entradas
pueden variar en cualquier momento ya que dependen de las condiciones ambientales.
Normalmente la variacin que se presentar ser suave, pero para estudiar una
condicin ms restrictiva se supone que esta pueda ser abrupta (escaln).

) ( ) (
* *
t u E t E
PV PV
= |

\
|

=
1

* *
z
z
E E
PV PV

) ( ) (
* *
t u E t E
sto sto
= |

\
|

=
1

* *
z
z
E E
sto sto


Aplicando esta condicin sobre la ecuacin en lazo cerrado obtenemos:

|
|

\
|
+
+
|

\
|

=
)

5 . 0 ( 1
)

5 . 0 (

2
2 * *
g g C
g g C sto PV
sto
T A G z m z
T A G z m E z E
z
z
E

Con la finalidad de garantizar que el error en estado estacionario sea cero, se debe
incluir en el controlador discreto una accin integral. El bloque ms sencillo que
permite obtener esta condicin es un control Proporcional Integral (PI).

( )
( ) 1
2
2

1 2
1

+
|
|

\
|
+ =

+
+ =
z
k T k
k T k
z
T
k k
z
z T k
k G
p g i
p g i
g
i p
g i
p C


que podemos expresar de forma general como:

1

=
z
z
G
C



Siendo:

2

|
|

\
|
+ =
g
i p
T
k k y
|
|

\
|
+

=
p g i
p g i
k T k
k T k
2
2




Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-19
El control proporcional integral combina las ventajas de la accin proporcional y de la
accin integral; la accin integral elimina el error estacionario, mientras que la accin
proporcional reduce el riesgo de inestabilidad que conlleva la introduccin de la propia
accin integral.

Para disear el control se debe especificar el valor de dos parmetros y . Siguiendo
la tcnica de diseo lineal de controladores de tiempo discreto, el cero del controlador
se ubicar lo ms cercano a z = 1 con el objetivo de minimizar el efecto desestabilizador
de la accin integral. Por otro lado se ajusta para obtener la respuesta transitoria
deseada y que el sistema sea estable.

La estabilidad del sistema se puede evaluar mediante la utilizacin del criterio de Jury.
Para ello, es necesario disponer de la ecuacin caracterstica del sistema, la cual se
presenta a continuacin:

)
1
5 . 0 ( 1
)
1
5 . 0 (

5 . 0 ( 1
)

5 . 0 (

2
2 * *
2
2 * *
g g
g g sto PV
g g C
g g C sto PV
sto
T A
z
z
z m z
T A
z
z
z m E z E
T A G z m z
T A G z m E z E
E

+
=
+
+
=



( ) ( ) ( )
1 5 . 0 ) 5 . 0 2 ( ) 1 (
5 . 0 5 . 0

2 2 2
2 2 2 * *
+ + +
+
=
g g g g
g g g g sto PV
sto
T A z T A m z m
T A m T A z z m E z z E
E




Las condiciones para determinar la estabilidad del sistema a partir del criterio de Jury se
aplican sobre el polinomio caracterstico del denominador de la ecuacin:

{ } 1 5 . 0 ) 5 . 0 2 ( ) 1 ( ) (
2 2 2
+ + + =
g g g g
T A z T A m z m z P

Mediante estas condiciones se determina si existen races en el plano Z fuera del crculo
de radio unidad, las cuales haran que el sistema fuera inestable.


Condicin 1: El coeficiente de trmino de grado superior debe ser positivo.

0 ) 1 ( > m 1 < m


Condicin 2: El polinomio caracterstico P(z) evaluado para z = 1 debe ser mayor que
cero.

{ } ) 1 ( 5 . 0 1 5 . 0 ) 5 . 0 2 ( ) 1 ( ) 1 (
2 2 2
= + + + =
g g g g g g
T A T A T A m m P

0 ) (
1
>
= z
z P 0 ) 1 ( 5 . 0
2
>
g g
T A

<
<

0
1
) 1 ( Solucin

>
>

0
1
) 2 ( Solucin



Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-20
La solucin (2) no es factible ya que > 1 est fuera del crculo de radio unidad.


Condicin 3: El polinomio caracterstico P(z) evaluado para z = -1 debe ser mayor que
cero.

{ } ) 1 ( 5 . 0 2 4 1 5 . 0 ) 1 )( 5 . 0 2 ( ) 1 ( ) 1 (
2 2 2
+ + = + + + =
g g g g g g
T A m T A T A m m P

0 ) (
1
>
= z
z P
) 1 (
) 2 ( 4
2

>
g g
T A
m



Condicin 4: Se debe cumplir que
0
a a
n
< , siendo a
n
y a
0
el ltimo y el primer
coeficiente, respectivamente, del polinomio P(z):

{ }
n
a z a z a z P + + = ) (
1
2
0


) 1 ( 1 5 . 0
2
m T A
g g
< +
g g
T A
m
5 . 0
1 ) 1 (
2


<
g g
T A
m

2
2

<

Para simplificar la ecuacin y extraer el mdulo se debe considerar que:

>

<

<

<
g g g g
g g
g g
T A
m
T A
m
T A
m
T A
m

2

2
: ) 2 (

2
: ) 1 (

2
2 2
2
2



La condicin (2) no puede cumplirse ya que en la segunda condicin del criterio de Jury
se define menor que cero y positivo y menor que la unidad. Por ello la condicin
final que se debe cumplir es:

g g
T A
m

2
2

<

Las condiciones (3) y (4) se pueden unificar en una expresin agrupada tal y como se
muestra a continuacin:

g g g g
T A
m
T A
m

2
) 1 (
) 2 ( 4
2 2

< <
+




Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-21
Las condiciones de diseo del controlador del lazo externo se pueden agrupar en una
tabla resumen:

Condicin 1 1 < m
Condicin 2
1 < ; 0 <
Condicin 3
) 1 (
) 2 ( 4
2

>
g g
T A
m

Condicin 4
g g
T A
m

2
2

<

Tabla 2.2. Condiciones de diseo del lazo externo en un inversor central.

Los parmetros de diseo dependen del punto de trabajo en que se encuentre el panel
fotovoltaico. Ser por tanto necesario definir el margen de trabajo del conjunto
fotovoltaico y calcular el valor de para la condicin ms restrictiva. Posteriormente se
muestra un ejemplo de diseo para unas condiciones determinadas y se definen las
condiciones lmite a aplicar.



2.1.2.3. Diseo del lazo de control interno.

2.1.2.3.1. Controlador Proporcional Resonante.

El lazo de control interno, tal y como se ha comentado anteriormente, tiene la misin de
generar la accin de control necesaria que haga conmutar los Mosfets garantizando la
mxima transferencia de energa. Para ello, se necesita un sistema de control que
garantice una corriente inyectada a la red sinusoidal y en fase con la tensin. Adems,
debe tener una dinmica rpida que permita validar la hiptesis de diseo utilizada para
el lazo externo, donde se supone que la corriente cumple:

( ) ( ) ( ) ( ) ( ) sin 1 1 t A T n K v T n K i
g g g g g L
= = ( ) ( ) ( )
g g
T n t T n 1

El valor de K es una entrada al sistema de control interno y proviene del lazo externo.
Su valor mximo viene determinado por la ecuacin de balance de potencia cuando la
transferencia de energa es mxima:

( )dt t A K dt i v dt v i
g g g
T
g g
T
L g
T
PV PV
sin
0
2 2
0 0

= =

( )dt t
A K
dt
A K
dt v i
g g g
T
g
g
T
g
T
PV PV
2 cos
2


0
2
0
2
0

=

g
g
g PV PV
T
A K
T v i
2


2
=
2
2
g
PV PV
A
v i
K =

Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-22
Para obtener una corriente sinusoidal en fase con la tensin de la red elctrica y con una
dinmica de control rpida, suelen utilizarse tcnicas de control lineal, aunque tambin
se pueden utilizar algoritmos no lineales como puede ser control deslizante.

Siguiendo la metodologa de control presentada en [T.2], se elige en esta tesis un
control lineal basado en una accin proporcional integral (PI). Concretamente,
proporcional resonante (integrador formado por un filtro resonante a la frecuencia de la
red). Este sistema de control se puede ver descrito en diversos artculos tales como por
ejemplo [A.10] donde se llega incluso a describir la transformacin necesaria para la
implementacin digital del filtro.

En las siguientes lneas se detallan las ecuaciones matemticas necesarias para
encontrar una metodologa de diseo de los parmetros del control.

Suponiendo que la seal de control sobre el puente inversor en H genera la corriente
deseada y que la modulacin utilizada se basa en la comparacin de una seal PWM
triangular de frecuencia fija con el ciclo de trabajo, se puede obtener el modelo
promediado.

La ecuacin de salida del sistema es:

g H
L
v v
dt
di
L =

Siendo v
H
= v
dc
donde [-1,1], resultando una relacin entre la variable y el ciclo
de trabajo dada por: d = (1 + )/2, donde d [0,1].

Aplicando la transformada de Laplace a la ecuacin anterior se obtiene:

s L
s V s V
s I
g H
L

) ( ) (
) (

=

Esta ecuacin se puede representar grficamente en lazo cerrado mediante la estructura
presente en la figura 2.10 donde ya se incluye un bloque de control lineal G
L
(s) que se
encarga de generar la seal V
H
(s) para que la corriente entregada a la red siga a una
corriente de referencia I
Lref
.

) ( ) ( s V K s I
g Lref
=











Figura 2.10. Diagrama de bloques del sistema de control interno.
s L
1
) (s G
L
) (s V
g
) (s I
L
) (s I
Lref
) (s V
H
Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-23
A partir del diagrama de bloques:

( )
g L L Lref L
V G I I I s L =
L
g L Lref
L
G s L
V G I
I
+



Considerando el valor de v
g
(t) e I
Lref
(t) en el dominio transformado de Laplace:

( ) t A t v
g g g
sin ) ( =
2 2

) (
g
g g
g
s
A
s V

+
=

( ) t A K t i
g g Lref
sin ) ( =
2 2

) (
g
g g
Lref
s
A K
s I

+
=

y sustituyendo estas expresiones en la funcin de transferencia se obtiene:

) (
) (

) )( (

2 2 2 2
s H
s
A K
G s L s
A G A K
I
g
g g
L g
g g L g g
L

+
=
+ +




Donde H(s) se define como:

) )( (

) (
2 2
L g
g g g g
G s L s
A s L A K
s H
+ +
+
=




La corriente de salida I
L
seguir a la corriente de referencia I
Lref
sin que haya error en
estado estacionario si:

{ } 0 ) ( lim
1
=

s H L
t


siendo L
-1
la transformada inversa de Laplace de la funcin.

La funcin H(s) contiene dos polos complementarios e imaginarios puros que en el
dominio temporal se corresponden con la frecuencia de red. El control G
L
(s) se elige
para cancelar esos dos polos y conseguir una expresin de H(s) que solamente contenga
polos reales negativos.

La ecuacin del controlador proporcional resonante escogido es:

2 2
2 2
2 2

) (
g
i g p p
g
i
p L
s
s K K s K
s
s K
K s G

+
+ +
=
+
+ =

Este control garantiza un efecto integral en la frecuencia de red (
g
). A partir de las
ecuaciones anteriores se puede obtener la funcin de transferencia H(s) en funcin de
los parmetros del control:

2 2 2 3
) (

) (
g p g i p
g g g g
K s L K s K s L
A s L A K
s H


+ + + +
+
=
Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-24
La dinmica de la corriente I
L
queda descrita por la ecuacin:

2 2 2 3 2 2
) (

) (

g p g i p
g g g g
g
g g
L
K s L K s K s L
A s L A K
s
A K
I

+ + + +
+

+
=

En el dominio temporal podemos describir la ecuacin anterior como:

( ) ( ) ( ) ( )
t t t
g g g g g L
e C e C e C A t A T n K t i

1
3 2 1
sin 1 ) (

+ + + =

siendo:

( ) ( )
3 , 2 , 1 ;
2 ` 3
1 1
2 3
=
+ + +
+
= n
K K L L
L T n K
C
p n i g n
n g
n



y
n
representa la raz del polinomio caracterstico:

2 2 2 3
) ( ) (
g p g i p
K s L K s K s L s P + + + + =

La estabilidad del sistema se puede determinar a partir del criterio de Routh-Hurwitz
[L.1], el cual determina el nmero de races de un polinomio que se encuentran en el
semiplano derecho del plano S. Para determinar si el sistema es estable se deben
verificar dos condiciones, una necesaria y otra suficiente:

- Todos los coeficientes del polinomio caracterstico deben existir y ser positivos.

- Todos los coeficientes de la primera columna del algoritmo de formacin deben
ser positivos.

Observando el polinomio caracterstico y desarrollando el algoritmo de formacin se
obtiene:

s
3
L (L
g
2
+K
i
)
s
2
K
p
K
p

g
2

s
1
K
i

s
0
K
p

g
2



Se puede afirmar que si K
p
y K
i
son positivos, el sistema es estable. El diseo de estos
dos parmetros debe hacerse de modo que la respuesta transitoria sea rpida para validar
la hiptesis de diseo del controlador externo (I
L
siempre es sinusoidal y en fase con la
red).

Para finalizar el estudio del lazo de control interno hay que resaltar la existencia de una
condicin de funcionamiento totalmente necesaria y que viene impuesta por la topologa
del puente en H (Buck en puente completo). El no cumplimiento de la condicin har
que todo el estudio realizado hasta este momento no tenga validez y por tanto la
corriente de salida no ser la deseada.

Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-25
La topologa Buck tiene una caracterstica reductora en tensin. Por tanto, se debe
asegurar que la tensin de entrada o mejor dicho, la tensin v
dc
en bornes del
condensador sea mayor que la tensin de la red elctrica. Para ello se ha de tener en
cuenta la relacin entre el control y las ecuaciones dinmicas del sistema.

El control puede adquirir los valores [-1, 1]. Teniendo en cuenta que la relacin
entre entrada, salida y control en un convertidor Buck cumple la ecuacin:

1 =
dc
H
v
v

g
L
dc
v
dt
di
L v +

que en rgimen permanente puede escribirse como:

( ) ( ) t A t A K L t v
g g g g g dc
sin cos ) ( +

aplicando la relacin trigonomtrica siguiente:

) sin( ) cos( ) sin(
2 2
+ + = + x B A x B x A ; donde
|

\
|
=

A
B
tg
1


se llega a la expresin:

( ) ( ) + + t K L A t v
g g g dc
sin 1 ) (
2
y ( )
g
K L tg
1
=


El caso ms restrictivo se dar para ( ) 1 sin = + t
g
:

( )
2
1 ) (
g g dc
K L A t v +

El valor mnimo que puede tomar v
dc
queda limitado por esta condicin. Tambin se
debe considerar que la tensin en bornes del condensador no ser totalmente constante
puesto que tendr una componente peridica procedente de la corriente sinusoidal que
se inyecta a la red. Dicha corriente produce una perturbacin (
dc
v ) sobre la tensin de
entrada de la etapa Buck (
*
dc
v ).

( )
2 *
1
g g dc dc
K L A v v + +










Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-26
2.1.2.3.2. Modulacin para el control de los Mosfets.

La modulacin utilizada para obtener la variable u de control de los Mosfets se basa en
la tcnica de modulacin por anchura de pulso (PWM) tal y como se muestra en [T.2]
pero sustituyendo en este caso el tpico diente de sierra por una portadora triangular. Se
ha considerado el uso de la seal triangula ya que en el caso del inversor multinivel, que
se estudia ms adelante, la modulacin se realiza con portadoras triangulares.

Se presentan dos sistemas diferentes de obtener la seal de control resultado de la
comparacin entre la seal moduladora (ciclo de trabajo obtenido a la salida del filtro
proporcional resonante) y la portadora (seal triangular de frecuencia fija).


1) Mltiples moduladoras:

La portadora es una seal triangular comprendida entre [-1, 1] que se compara con el
ciclo de trabajo obtenido a la salida del control proporcional resonante. Para poder
obtener una secuencia de conmutacin unipolar (de tres estados) es necesario generar la
seal inversa del ciclo de trabajo. En el siguiente grfico se muestra el proceso de
modulacin de forma simplificada:

0 0.01 0.02 0.03 0.04 0.05 0.06
-1
-0.5
0
0.5
1
P
o
r
t
a
d
o
r
a

y

m
o
d
u
l
a
d
o
r
a


Cicl.trab.
Cicl.trab. inv
Port.
0 0.01 0.02 0.03 0.04 0.05 0.06
-1
-0.5
0
0.5
1
S
e
c
u
e
n
c
i
a

c
o
n
m
u
t
a
c
i

n

u
n
i
p
o
l
a
r


Figura 2.11. Modulacin mediante mltiples moduladoras.


El ciclo de trabajo se caracteriza por ser sinusoidal y de frecuencia igual a la de la
corriente inyectada (50Hz). Su amplitud queda confinada entre [-1, 1] por la accin de
la portadora.





Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-27
2) Portadora modificada:

Las portadoras son dos seales triangulares comprendidas entre [-1, 0] y [0, 1]
respectivamente. Estas dos seales comparadas con el ciclo de trabajo que se obtiene a
la salida del control PR generan la secuencia de conmutacin unipolar.

Se presenta a continuacin un ejemplo debidamente escalado en el tiempo para que se
observe con facilidad la generacin de la secuencia de control de los transistores del
puente inversor.

0 0.01 0.02 0.03 0.04 0.05 0.06
-1
-0.5
0
0.5
1
P
o
r
t
a
d
o
r
a

y

m
o
d
u
l
a
d
o
r
a


Cicl.trab.
Port. 1
Port. 2
0 0.01 0.02 0.03 0.04 0.05 0.06
-1
-0.5
0
0.5
1
S
e
c
u
e
n
c
i
a

c
o
n
m
u
t
a
c
i

n

u
n
i
p
o
l
a
r


Figura 2.12. Modulacin mediante portadora modificada.


El ciclo de trabajo se caracteriza por ser sinusoidal y de frecuencia igual a la de la
corriente inyectada (50Hz). Su amplitud queda confinada entre [-1, 1] por la accin de
las portadoras.

En la secuencia de control, obtenida mediante esta segunda modulacin, se puede
observar un menor nmero de conmutaciones que en la secuencia de la figura 2.11. Esto
har que los interruptores del puente en H tengan menor estrs y que las prdidas
disminuyan.











Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-28
2.2. Modelo y sistema de control del Inversor Multinivel de n
etapas.


En el apartado anterior se ha presentado la estructura formada por un inversor central
que se conecta a una nica agrupacin serie-paralelo de paneles fotovoltaicos y que
inyecta corriente a la red buscando la mxima transferencia de potencia. Esta topologa,
ampliamente utilizada por ser de bajo coste, presenta inconvenientes cuando la
agrupacin de paneles crece y aparecen problemas de sombreado parcial.

Si el campo fotovoltaico es grande, las condiciones fsicas de irradiancia y/o
temperatura sobre cada panel no tienen por qu ser iguales. Incluso pueden existir
paneles de diferentes tecnologas con curvas de potencia muy dispares. En estos casos,
la agrupacin serie-paralelo provoca una prdida de potencia considerable por quedar la
corriente limitada por los paneles que tienen menor capacidad energtica.

En el caso de querer inyectar corriente directamente a la red, tambin aparecen
problemas ya que, con la etapa inversora central, es necesario tener una tensin de
entrada DC que supere los 350V (suponiendo la red elctrica Europea). Las opciones
para conseguirlo pueden pasar por incrementar el nmero de paneles agrupados hasta
llegar a la tensin deseada o bien elevar la tensin ya sea mediante una etapa DC-DC
elevadora o utilizando un transformador de salida.

Una de las topologas propuestas para solucionar estos inconvenientes es la AC-serie
que se presenta a continuacin y que ser objetivo de estudio en esta tesis.

Se pretende obtener una estructura modular formada por etapas inversoras centrales
como la estudiada en el punto anterior. La conexin entre las distintas etapas se realiza
de forma serie de modo que vaya aumentando la tensin y as poder conectar a red sin la
necesidad de un transformador elevador en la salida. La conexin serie permite que la
tensin DC de entrada individual en cada etapa no deba necesariamente ser grande, sin
embargo, la suma de tensiones DC de entrada s deber ser mayor de 350V para poder
conectar a la red.

Mediante el uso de algoritmos de MPPT individuales y del diseo de un sistema de
control adecuado, se debe garantizar que se extrae la mxima potencia posible de cada
etapa.

La siguiente figura muestra el esquema de esta arquitectura que denominaremos
inversor multinivel en cascada siguiendo el estudio presentado por [T.1] en su tesis
doctoral.









Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-29







































Figura 2.13. Inversor multinivel (arquitectura AC-serie).

El nombre de inversor multinivel viene dado por el propio funcionamiento de la
estructura. Si se observa la forma de onda de la tensin de salida, v
HT
, se puede ver que
est formada por la suma de distintos niveles de tensin (k), dependiendo del nmero
etapas conectadas en serie (n).

1 2 + = n k



Puente en H 1

Agrupacin de
paneles
fotovoltaicos 1

Red elctrica
220V
RMS
(50Hz)

Puente en H i

Agrupacin de
paneles
fotovoltaicos i

Puente en H n

Agrupacin de
paneles
fotovoltaicos n

v
Hi
i
L
v
g
L

S
11
S
31
S
21
S
41
S
1i
S
3i
S
2i
S
4i
S
1n
S
3n
S
2n
S
4n
v
Hn
v
HT
v
H1
C
1

i
PV1
i
dc1
i
C1
v
PV1 v
dc1
C
i

i
PVi
i
dci
i
Ci
v
PVi v
dci
C
n

i
PVn
i
dcn
i
Cn
v
PVn v
dcn
Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-30
Como se observa en la figura 2.13, esta aplicacin consiste en la conexin de cada
conjunto de paneles fotovoltaicos a la entrada de cada puente inversor, mientras que la
salida del convertidor multinivel se conecta a la red mediante una inductancia serie que
hace que el conjunto se pueda ver como una fuente de corriente.

Las fuentes de tensin continuas de entrada son flotantes sin estar interconectadas, y la
obtencin de los mltiples niveles se consigue mediante la conmutacin de los Mosfets
de cada puente, cuya secuencia debe evitar los cortocircuitos de la fuente de entrada de
cada convertidor. Este tipo de convertidor presenta las siguientes ventajas:

- Al estar constituido por puentes completos independientes la constitucin puede
ser modular, rebajando la complejidad de montaje.

- La topologa es tolerante a fallos, pues el convertidor puede continuar
funcionando con un menor nivel de tensin aunque una de sus etapas est
cortocircuitada en la salida, siempre que se cumpla que la tensin v
HT
sea mayor
que la tensin de red y, por tanto, haya inyeccin de corriente.

- La generacin de la tensin de salida bipolar puede hacerse con forma semejante
a una sinusoide. Esto exige un control del estado de los interruptores que siga
una determinada secuencia temporal. Gracias a ello, se consigue un contenido
armnico inferior al que se tiene con un convertidor convencional de potencia
equivalente.

- Segn la metodologa de modulacin aplicada, se puede conseguir reducir el
estrs de los interruptores. Esta ventaja conlleva la necesidad de aplicar sistemas
de control ms complejos que determinen la secuencia de conmutacin.

Como inconveniente de esta topologa cabe destacar el hecho de considerar como
fuentes de tensin los condensadores de entrada de cada etapa dado que se debe
mantener el equilibrio de las tensiones para el correcto funcionamiento del convertidor.
El sistema de control que se plantee deber tener en cuenta este punto y ser capaz de
extraer la mxima potencia de cada uno de los conjuntos fotovoltaicos independientes.

A continuacin se presenta el anlisis matemtico de la estructura considerando n etapas
y suponiendo que la secuencia de conmutacin de los transistores del puente es
unipolar:

u
i
S
1i
S
2i
S
3i
S
4i
V
Hi

1 OFF ON ON OFF +v
PVi

0 ON OFF ON OFF 0
0 OFF ON OFF ON 0
-1 ON OFF OFF ON -v
PVi


Tabla 2.3. Secuencia de conmutacin unipolar (tres estados).

para i = 1, 2, 3, , n.

Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-31
Las topologas que se obtienen para cada puente individual son las mismas que se
presentaban en el apartado 2.1 y se generalizan en este apartado considerando que las
etapas se conectan en cascada, es decir:

Hn H H H HT
v v v v v + + + + = ...
3 2 1


A partir de esta consideracin, la ecuacin general que relaciona las variables de estado
y determina la dinmica del sistema inversor es:

= =
= =
= =
= =
= =
dcn PVn
dcn
n Cn
dc PV
dc
C
dc PV
dc
C
dc PV
dc
C
g HT
L
L
i i
dt
dv
C i
i i
dt
dv
C i
i i
dt
dv
C i
i i
dt
dv
C i
v v
dt
di
L v

...

3 3
3
3 3
2 2
2
2 2
1 1
1
1 1

= =
= =
= =
= =
+ + + + = =
L n PVn
dcn
n Cn
L PV
dc
C
L PV
dc
C
L PV
dc
C
g dcn n dc dc dc
L
L
i u i
dt
dv
C i
i u i
dt
dv
C i
i u i
dt
dv
C i
i u i
dt
dv
C i
v v u v u v u v u
dt
di
L v

...



...
3 3
3
3 3
2 2
2
2 2
1 1
1
1 1
3 3 2 2 1 1




n i
i u i
dt
dv
C i
v v u
dt
di
L v
L i PVi
dci
i Ci
g
n
i
dci i
L
L
,..., 3 , 2 , 1 para


1
=

= =
= =

=



Las variables (i
PV
) y (v
dc
) de cada etapa inversora se corresponden respectivamente con
la corriente entregada y la tensin en bornes de cada conjunto de paneles. Estas
variables estn relacionadas a travs de la ecuacin no lineal del panel y dependen
adems de la temperatura y la irradiancia.

Si en el caso del inversor central se planteaba una dificultad analtica a la hora de
resolver el sistema de ecuaciones, mayor problemtica se encuentra en este caso en el
cual intervienen n conjuntos fotovoltaicos distintos.

En los prximos apartados se propone utilizar el mismo anlisis matemtico que en 2.1
basado en el balance de energa en un ciclo de red con la finalidad de encontrar un
modelo lineal discreto de la planta.







Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-32
2.2.1. Modelado del inversor multinivel a partir del concepto
de balance energtico.


Para realizar el estudio del balance energtico del sistema multinivel se deben plantear
las mismas hiptesis que cuando se analiza el sistema inversor central y tener en cuenta
que en este caso hay n fuentes de entrada y n condensadores donde almacenar la
energa.

Seguidamente se plantean las hiptesis de trabajo al mismo tiempo que se desarrollan
las ecuaciones:

1) Balance de energa: se mantendr la relacin entre la suma de potencia entregada
por cada uno de los conjuntos fotovoltaicos, la potencia almacenada en los
diversos elementos reactivos y la potencia de salida. Supondremos que no hay
prdidas en el sistema.

out inv inT
P P P + =

out L Cn C C C inn in in in
P P P P P P P P P P + + + + + + = + + + + ... ...
3 2 1 3 2 1


siendo:

P
ini
: Potencia entregada por cada conjunto fotovoltaico.
P
Ci
: Potencia almacenada por cada condensador de entrada (C
i
).
P
L
: Potencia almacenada en el inductor de salida.
P
out
: Potencia entregada a la red.

para i = 1, 2, 3,,n, donde n es el nmero de inversores que forman la estructura
multinivel.

L g L L
n
i
Ci dci
n
i
PVi PVi
i v i v i v v i
1 1
+ + =

= =


Expresando la ecuacin en funcin de las variables de estado obtenemos:

L g L
L
n
i
dci
i dci
n
i
dci PVi
i v i
dt
di
L
dt
dv
C v v i
1 1
+ + =

= =



2) Se considera que la tensin de la red y la corriente que se inyecta son
sinusoidales y estn en fase.

( ) sin t A v
g g g
= para
g g
f 2 =

Siendo f
g
la frecuencia de la red elctrica que en el caso de Europa es de 50 Hz.

Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-33
Hz
T
f
g
g
50
1
= =

La corriente inyectada deber cumplir la ecuacin:

( ) ( ) ( ) sin t A K v K i
g g g L
= =

Siendo K() la variable de escalado de amplitud variante en funcin de la
energa para que se cumpla la ecuacin de balance energtico en cualquier
instante de tiempo.


La ecuacin que se obtiene a partir de la aplicacin de estas dos hiptesis es:

L g L
L
n
i
dci
i dci
n
i
dci PVi
i v i
dt
di
L
dt
dv
C v v i
1 1
+ + =

= =


( )
g g g
g
n
i
dci
i dci
n
i
dci PVi
v K v v K
dt
v K d
L
dt
dv
C v v i


1 1
+ + =

= =


( ) ( )
( ) ( ) t A K t A K
dt
t A K d
L
dt
dv
C v v i
g g g g
g g
n
i
dci
i dci
n
i
dci PVi
sin sin
sin

2 2
1 1

+ + =

= =


( ) ( ) ( ) t A K t t A K L
dt
dv
C v v i
g g g g g g
n
i
dci
i dci
n
i
dci PVi
sin sin cos
2 2 2 2
1 1
+ + =

= =



teniendo en cuenta las relaciones trigonomtricas siguientes:

( ) ( ) ( ) A A A cos sin 2 2 sin =
( )
( )
2
2 cos 1
sin
2
A
A

=

se obtiene la ecuacin general del sistema inversor multinivel.

( )
( )
|
|

\
|
+ + =

= =
2
2 cos 1
2 sin
2


2
2 2
1 1
t
A K t
A K L
dt
dv
C v v i
g
g g
g g
n
i
dci
i dci
n
i
dci PVi



( )
( )
2
2 cos
2

2 sin
2


2 2 2 2
1 1
t A K A K
t
A K L
dt
dv
C v v i
g g g
g
g g
n
i
dci
i dci
n
i
dci PVi

+ + =

= =



Igual que en el caso estudiado para el inversor central, utilizando la teora de balance
energtico, se ha obtenido una ecuacin que describe la dinmica del sistema y que no
depende de la variable de control (u). La dinmica no lineal de los conjuntos
Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-34
fotovoltaicos sigue existiendo y, por tanto, se deben aplicar las mismas hiptesis que en
2.1:

1) Discretizacin de la ecuacin del sistema pare eliminar las componentes
sinusoidales de frecuencia doble a la de la red.

2) Linealizacin de los conjuntos fotovoltaicos independientes alrededor del punto
de trabajo con la finalidad de obtener un modelo lineal discreto del sistema
completo.


2.2.1.1. Modelo del inversor multinivel promediado en un periodo de red.

El modelo promediado del sistema inversor multinivel se puede obtener a partir de la
ecuacin de balance energtico e integrando en un periodo de red.

La variable K en un periodo de red debe mantenerse constante:

( ) ( )
g
T n K K 1 = ( ) ( ) ( )
g g
T n t T n 1 siendo T
g
un periodo de red.

por tanto:

( ) ( ) ( ) ( ) ( ) sin 1 1 t A T n K v T n K i
g g g g g L
= =


La ecuacin integrando en un periodo se muestra a continuacin:

( ) ( )
( ) ( ) [ ]
( )
( )
( ) ( )
( )
( ) ( ) ( )
( )
dt
t A T n K
dt
A T n K
dt t
A T n K L
dt
dt
dv
C v dt v i
g
g
g
g
g
g
g
g
g
g
T n
T n
g g g
T n
T n
g g
T n
T n
g
g g g
T n
T n
n
i
dci
i dci
T n
T n
n
i
dci PVi

2
2 cos 1

2
1
2 sin
2
1

1
2

1
2

1
2 2

1
1

1
1


+ =




Evaluando la integral se obtiene:

( )
( ) ( ) ( ) { }
( ) ( ) [ ] ( )
( )
( ) ( )
[ ]
( )
( ) ( ) ( )
( )
g
g
g
g
g
g
g
g
T n
T n
g
g g g
T n
T n
g g
T n
T n
g
g g g g
n
i
g dci g dci
i
T n
T n
n
i
dci PVi
t A T n K
t
A T n K t A T n K L
T n v T n v
C
dt v i

1
2

1
2

1
2 2
1
2 2

1
1
2
2 sin
2
1
2
1
2
2 cos

2
1
1
2


+
=





Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-35
Simplificando las expresiones y definiendo E
PVT
como la suma de la energa entregada
por los diferentes conjuntos fotovoltaicos en un periodo de red:

( ) ( ) ( ) { }
( ) ( )
g
g g
n
i
g dci g dci
i
PVT
T
A T n K
T n v T n v
C
E
2
1
1
2
2
1
2 2

+ =

=


( )

=
= =
n
i
PVi
T n
T n
n
i
dci PVi PVT
E dt v i E
g
g
1

1
1



La ecuacin obtenida para el inversor multinivel tiene una estructura muy similar a la
que apareca en el caso del inversor central. La diferencia reside en la modularidad, pues
a medida que aumenta el nmero de inversores, ms energa entregamos a la red.











La energa almacenada en cada uno de los condensadores de entrada de las diferentes
etapas que constituyen el inversor multinivel ser:

( ) ( ) ( ) { }
( ) ( )
g
g g
n
i
PVi
n
i
g stoi g stoi
T
A T n K
E T n E T n E
2
1
1
2
1 1

=

= =


definiendo E
stoi
como:

) (
2
) (
2
t v
C
dv v C dt v
dt
dv
C dt v i t E
dci
i
t
dc dci i
t
dci
dci
i
t
dci Ci stoi
= = = =






2.2.1.2. Modelo discreto de los conjuntos fotovoltaicos independientes.

Cada conjunto de paneles fotovoltaicos, asociado a una etapa inversora del multinivel,
se puede describir mediante la ecuacin vista en 2.1.1.2.

= = 1 ) ( ) , (

ti i
PVi
V
v
satci gci Di gci PVi
e I I T I T I i




Sumatorio de la energa
entregada por cada conjunto
de paneles fotovoltaicos.

Sumatorio de la energa
almacenada en el condensador
de entrada de cada una de las
etapas.
Energa entregada a la
red elctrica.
( ) ( ) ( ) { }
( ) ( )
g
g g
n
i
g dci g dci
i
PVT
T
A T n K
T n v T n v
C
E
2
1
1
2
2
1
2 2

+ =

=
Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-36
Donde V
ti
es la tensin trmica que se define como:

e
T k
V
i
ti

=

k = constante de Boltzmann (1,3810
-23
J/K ).
T
i
= temperatura en grados Kelvins.
e = carga del electrn (1.610
-19
C)

y
i
una constante que representa el coeficiente de emisin que vara entre 1 y 2
dependiendo del proceso de fabricacin.

Por tanto, la corriente entregada por cada conjunto de paneles fotovoltaicos, depende la
irradiancia, de la temperatura y de la tensin en bornes. Para maximizar la potencia
extrada ser necesario agrupar los diferentes paneles en base a sus caractersticas y a
condiciones ambientales similares. Cada conjunto homogneo puede asociarse a una
etapa distinta del mutlinivel.

A continuacin se propone linealizar el panel fotovoltaico en funcin de la energa
entregada en un periodo:

( ) ( )
dt v e I I dt v i E
g
g
ti i
PVi g
g
T n
T n
PVi
V
v
satci gci
T n
T n
PVi PVi PVi
1

1


)

= =



Expresando la ecuacin en trminos de energa:

( )
g
V
E
C
satci stoi
i
g satci gci stoi
i
PVi
T e I E
C
T I I E
C
E
ti i
stoi
i

2

2

+ =



A continuacin se desarrolla la expresin en series de Taylor de primer orden y en
funcin de E
stoi
para encontrar la aproximacin lineal alrededor del punto de trabajo
(E
stoi
*
):

( ) ( ) ( )
* *

*
stoi stoi
E E
stoi
PVi
stoi PVi stoi PVi
E E
dE
dE
E E E E
stoi stoi

|
|

\
|
+
=


donde se puede definir la variable m
i
para cada conjunto de paneles:

( )
( )
dt
dE
dP
dE
dE
m E E E
g
g
stoi stoi stoi stoi
T n
T n
E E
stoi
PVi
E E
stoi
PVi
i stoi PVi PVi
;

1
* *
* *

= = |
|

\
|
=
|
|

\
|
= =

La ecuacin anterior puede reescribirse de forma compacta como:

( )
* *

stoi stoi i PVi PVi


E E m E E +
Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-37

El valor E
pvi
*
se calcula a partir de la expresin de la energa evaluada en el punto de
trabajo:

( )
g
V
E
C
satci stoi
i
g satci gci stoi
i
PVi
T e I E
C
T I I E
C
E
ti i
stoi
i

2

2

2
* * *
*

+ =



Definiendo ( )
ti i i
ci satci
i
bi satci gci
i
ai
V C
I
C
I I
C
1

2
;
2
;
2

= = + = , tendremos:

( )
g
E E
stoi
E
stoi bi stoi ai
E E
stoi
PVi
i
T
dE
e E E d
dE
dE
m
stoi stoi
stoi ci
stoi stoi


* *

= =

=
|
|

\
|
=

\
|
|

\
|
+ =
*
*
1
2
*
stoi ci
E
bi ai
stoi
g
i
E e
E
T
m
stoi ci



para i = 1, 2, 3, , n agrupaciones de paneles fotovoltaicos.



2.2.1.3. Modelo lineal discreto del sistema inversor multinivel.

La obtencin del modelo lineal discreto del sistema inversor se consigue aplicando la
transformada Z al conjunto de ecuaciones obtenidas en 2.2.1.1 y 2.2.1.2.

( ) ( ) ( ) { }
( ) ( )
g
g g
n
i
PVi
n
i
g stoi g stoi
T
A T n K
E T n E T n E
2
1
1
2
1 1

=

= =


siendo:
( )
* *

stoi stoi i PVi PVi


E E m E E +

Realizando la transformacin y utilizando la notacin X z X

) ( = para las variables


transformadas al dominio Z:

{ }
g
g
n
i
PVi
n
i
stoi stoi
T
A z K
E z E E
2


2 1
1 1
1

= =

=



g
g
n
i
PVi
n
i
stoi
T
A z K
E
z
z
E
2

2 1
1 1

= =
= |

\
|



Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-38
( ) 1 2


2
1 1

|

\
|

=

= =
z
T A K
z
z
E E
g g
n
i
PVi
n
i
stoi


( ) { }
( ) 1 2


2
1
* *
1

|

\
|

+ =

= =
z
T A K
z
z
E E m E E
g g
n
i
stoi stoi i PVi
n
i
stoi



La ecuacin obtenida no permite representar un diagrama de bloques en lazo abierto de
forma directa, pero si puede hacerse agrupando los trminos que la forman:


1. Diagrama de bloques en lazo abierto general.

Este diagrama de bloques se obtiene si se definen los sumatorios como variables
totales de energa:

( ) 1 2

\
|

=
z
T A K
z
z
E E
g g
PVT stoT

siendo:

=
=
n
i
stoi stoT
E E
1

y ( ) { }

=
+ =
n
i
stoi stoi i PVi PVT
E E m E E
1
* *














Figura 2.14. Diagrama de bloques en lazo abierto general del sistema inversor multinivel.


Este diagrama, aunque dispone de toda la informacin del sistema, no permite
aplicar un sistema de control a partir del cual se pueda conocer cul es la aportacin
de energa que realiza cada agrupacin de paneles y cuanta energa hay almacenada
en cada uno de los condensadores de entrada. Adems, aparece una cuestin muy
importante para el diseo del control: Quin es K y a partir de qu se genera?







stoT
E

PVT
E

( ) 1 2

2
z
T A
g g
|

\
|
1 z
z
Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-39
2. Diagrama de bloques en lazo abierto extendido a n etapas.

En este diagrama se agrupan las diferentes variables de la ecuacin como si se
tratara de las etapas independientes que forman el inversor multinivel. Para ello es
necesario definir la variable K como:

=
=
n
i
i
K K
1

, siendo n el nmero de etapas.

La ecuacin general queda entonces definida como:

( ) { }
( ) 1 2


2
1 1
* *
1

|

\
|

+ = =

= = =
z
T A
K
z
z
E E m E E E
g g
n
i
i
n
i
stoi stoi i PVi
n
i
stoi stoT





































Figura 2.15. Diagrama de bloques en lazo abierto extendido del sistema inversor multinivel.
1

K
n
K

( ) 1 2

2
z
T A
g g
1

sto
E
*
1

PV
E
|

\
|
1 z
z
|

\
|
1 z
z
*
1

sto
E
1
m
ston
E

PVn
E
|

\
|
1 z
z
|

\
|
1 z
z
*

ston
E
n
m
stoT
E

Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.



2-40
La incgnita presentada en el diagrama (1) referente a la variable K, queda fijada en el
segundo modelo, pero no se obtiene una definicin numrica exacta. Hay que tener en
cuenta que solamente tenemos una ecuacin y esta se desglosa en n incgnitas K
1
, K
2
,
, K
n
.

Suponiendo un ejemplo de multinivel formado por tres etapas, la ecuacin a estudiar
sera:

( ) { }
( ) 1 2


2
3
1
3
1
* *
3
1

|

\
|

+ = =

= = =
z
T A
K
z
z
E E m E E E
g g
i
i
i
stoi stoi i PVi
i
stoi stoT



La nica ecuacin que realmente se puede asegurar numricamente y que no est sujeta
a permutaciones es
3 2 1

K K K K + + = . Donde K

se puede definir como la variable de


escalado que indica la energa que se debe entregar a la red para conseguir la mxima
transferencia de potencia.

2
1
2
2
2

g
n
i
PVi PVi
g
inT
A
v i
A
P
K

=
= =




























Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-41
2.2.2. Diseo del sistema de control para el Inversor
Multinivel linealizado mediante el concepto de balance
energtico.


Habiendo obtenido el diagrama de bloques en lazo abierto del inversor multinivel con
una estructura modular en la que es posible ampliar o reducir el nmero de etapas
inversoras, resta por describir un sistema de control que permita conseguir los objetivos
prefijados.

Observando el diagrama de bloques de cada etapa del multinivel de forma
independiente, se puede encontrar una gran similitud con el diagrama presentado en la
figura 2.9 siendo posible aplicar un sistema de control anlogo al del inversor central.

Considerando la divisin en etapas, se debe disponer de n controladores MPPT, n lazos
externos y un nico lazo interno.

a) MPPT: Se encarga de definir el punto de trabajo para el cual la potencia en
bornes del panel es mxima. Este bloque de control debe ser incorporado en
cada una de las etapas. Su funcionalidad ya se ha visto en 2.1.2.1 y solamente es
necesario ampliar el concepto para n etapas.

b) Lazo externo: Tiene la misin de evaluar la energa mxima que puede entregar
cada conjunto fotovoltaico independiente en un periodo de red considerando el
punto de trabajo indicado por los diferentes MPPT. Para poder realizar esta
funcin es necesario definir tantos lazos externos como etapas tenga el
multinivel. La informacin de cada bloque se debe transferir al lazo interno
validando que ( ) ( ) ( )
g stoi g stoi
T n E T n E 1 = con lo cual toda la energa que genera
cada uno de los conjuntos fotovoltaicos sea transferida a la red elctrica.

c) Lazo interno: Este lazo, a partir de una nica variable de entrada K formada por
la suma de la informacin de cada lazo externo, se encarga de obtener la seal
control que hace conmutar los Mosfets de forma adecuada para conseguir la
mxima transferencia de potencia y la inyeccin de corriente en la red con factor
de potencia unitario. Adems, dispone de un bloque modulador que tiene la
misin de conseguir que el convertidor trabaje en conmutacin multinivel.


Un esquema simplificado de la estructura que se pretende disear es el que se muestra
en la siguiente figura:









Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-42
















































Figura 2.16. Esquema de control para el inversor multinivel.

Lazo externo i

Lazo externo n

Lazo externo 1

K

u
n
u
1
u
i

Lazo interno

L

Puente
en H n
u
n

v
PVn

Algoritmo
MPPT n
E
ston
*
v
dcn

i
PVn

C
n

Control
balance
energa n
K
n

u
1

v
PV1

Algoritmo
MPPT 1
E
sto1
*
v
dc1

i
PV1


Puente
en H 1
C
1

Control
balance
energa 1
K
1

v
g

i
L

i = 1, 2, , n

u
i


Puente
en H i
v
PVi

Algoritmo
MPPT i
E
stoi
*
v
dci

i
PVi

C
i

Control
balance
energa i
K
i

i
Lref

Control
PR

Modulacin
d

K
1

K
i

K
n

K
1

K
i

K
n

K

Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-43
2.2.2.1. Diseo del lazo de control externo.


El diagrama de bloques en lazo cerrado para cada etapa independiente es:


















Figura 2.17. Diagrama de bloques del sistema de control externo sobre las etapas del multinivel.


Siendo su ecuacin caracterstica en lazo cerrado:

)

5 . 0 ( 1
)

5 . 0 (

2
2 * *
g g Ci i
g g Ci i stoi PVi
stoi
T A G z m z
T A G z m E z E
E
+
+
=

El controlador discreto elegido para eliminar el error en estado estacionario debe
cumplir la ecuacin:

1

=
z
z
G
i
i Ci



A partir de estas dos ecuaciones, se obtiene el polinomio caracterstico y se realiza un
estudio de la estabilidad idntico al presentado en el punto anterior.


{ } 1 5 . 0 ) 5 . 0 2 ( ) 1 ( ) (
2 2 2
+ + + =
g g i i g g i i i
T A z T A m z m z P


Las condiciones de diseo que se obtienen, extrapoladas a n etapas, se pueden resumir
en la tabla siguiente:




*

stoi
E
i
K

Ci
G

( ) 1 2

2
z
T A
g g
stoi
E

PVi
E
|

\
|
1 z
z
|

\
|
1 z
z
i
m
Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-44
Condicin 1
1 <
i
m
Condicin 2
1 <
i
; 0 <
i

Condicin 3
) 1 (
) 2 ( 4
2
i g g
i
i
T A
m

>
Condicin 4
g g i
i
i
T A
m

2
2

<

Tabla 2.4. Condiciones de diseo del lazo externo en un inversor multinivel.

El cero del controlador
i
se ubica lo ms cercano a z = 1 con el objetivo de minimizar
el efecto desestabilizador de la accin integral. Por otro lado se ajusta
i
para obtener la
respuesta transitoria deseada y que el sistema sea estable.

Los parmetros de diseo dependen del punto de trabajo en que opere cada uno de los
diferentes conjuntos fotovoltaicos (m
i
). Ser por tanto necesario definir el margen de
trabajo de cada una de las etapas y calcular los valores
i
para la condicin ms
restrictiva en cada caso, es decir, para los valores m
i
lmite (m
imx
).

Posteriormente se utilizar un ejemplo para mostrar el mtodo de diseo y ver como se
puede simplificar el clculo de los controladores discretos e incluso utilizar el mismo
valor de parmetros en todas las etapas del multinivel.



2.2.2.2. Diseo del lazo de control interno.

El lazo de control interno se encarga de generar la corriente que se inyecta a la red y que
el proceso se realice con factor de potencia unitario. En el multinivel, el sistema control
propuesto para realizar esta funcin, est formado por un bloque proporcional resonante
(PR) idntico al que se emplea en el inversor central y de una etapa de modulacin que
genera los pulsos de control de los diferentes puentes en H.


2.2.2.2.1. Controlador Proporcional Resonante.

El controlador elegido es el proporcional resonante visto anteriormente en el diseo del
inversor central. La diferencia en este caso reside en que dispone de n entradas de
informacin (K
i
). La energa es transferida a la red mediante una nica corriente i
L
.

La corriente que se entrega a la red debe cumplir la ecuacin:

( ) ( ) ( ) ( ) ( ) sin 1 1 t A T n K v T n K i
g g g g g L
= = ( ) ( ) ( )
g g
T n t T n 1

El valor de K indica la proporcin de energa total que se debe inyectar a la red en cada
periodo. Debe, por tanto, contener la suma de informaciones parciales entregadas por
cada etapa del multinivel.

Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-45

=
= + + + =
n
i
i n
K K K K K
1
2 1
...

El diseo del controlador PR no se detalla en este apartado ya que, una vez unificadas
las variables K
i
, es idntico al presentado en 2.1.2.3.1.

En el apartado referenciado se adjunta una conclusin final sobre la condicin que se
debe cumplir en una etapa Buck para que se cumpla la inyeccin de corriente a la red:


- La tensin en bornes del condensador (v
dc
) debe ser mayor que la tensin de la
red elctrica. Operando se obtena:

( )
2 *
1
g g dc dc
K L A v v + +

Para el caso del multinivel esta condicin no es aplicable directamente, pues puede que
la tensin en bornes de los condensadores C
i
sea menor que la tensin de red y el
sistema cumpla la condicin de inyeccin a red:

=
= + + + =
n
i
dci i dcn n dc dc H
v u v u v u v u v
1
2 2 1
...
g
L
H
v
dt
di
L v +

g
L
n
i
dci i
v
dt
di
L v u +

=

1


La topologa multinivel estudiada permite tener agrupaciones de paneles fotovoltaicos
cuyo punto de trabajo en tensin no supere la tensin de red. Lo nico que se deber
tener en cuenta es que la suma de las tensiones aportadas por cada etapa s lo cumpla.

Se podra llegar a suponer que, con suficiente nmero de etapas, puede haber algunas
situadas a v
dcx
= 0V. Remarcar que hay un lazo externo de control que fija unos lmites
de estabilidad y, por tanto, la tensin mnima a la que cada etapa puede trabajar.


2.2.2.2.2. Modulacin para el control de los Mosfets.

La obtencin de la seal de control para que los puentes que forman cada etapa
conmuten adecuadamente y trabajen en condicin de multinivel es uno de los aspectos
ms relevantes del desarrollo de este trabajo.

Se debe resaltar que se dispone de una nica corriente de salida y por tanto de un nico
control en corriente. A partir de este control se deben poder obtener n secuencias de
conmutacin, una para cada etapa del multinivel, que permitan posicionar los diferentes
conjuntos fotovoltaicos en el punto de trabajo que corresponda a cada uno.

Siguiendo los estudios presentados en [T.1] se proponen dos metodologas distintas:

Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-46
1) Mltiples moduladoras y portadora modificada: Se genera la seal de control de
los diferentes puentes a partir del ciclo de trabajo obtenido en la salida del filtro
resonante y de su inversa. Estas dos moduladoras se comparan con n portadoras
de frecuencia fija desfasadas entre s. Se conoce esta tcnica como PS-PWM
(Phase-Shifted Pulse Width Modulation).

2) Portadora modificada: Se genera la seal de control de los diferentes puentes a
partir de la comparacin entre el ciclo de trabajo obtenido en la salida del filtro
resonante y n portadoras de frecuencia fija uniformemente desplazadas en su
nivel de continua. Esta tcnica recibe el nombre de PD-PWM (Phase
Disposition Pulse Width Modulation).

Seguidamente se presenta el estudio terico de estas dos tcnicas y se comentan las
ventajas e inconvenientes que deberan obtenerse con cada una de ellas.


2.2.2.2.2.1 Modulacin PS-PWM.

Esta modulacin se caracteriza por la obtencin de la secuencia de conmutacin de los
diversos puentes en H a partir de la comparacin entre la salida del control PR y su
inversa con n portadoras desfasadas entre s segn la ecuacin:

n
fase
360
=

Considerando, como ejemplo, un inversor multinivel constituido por tres etapas, la
secuencia de conmutacin que se obtiene mediante esta tcnica queda representada en la
siguiente figura:

0.02 0.025 0.03 0.035 0.04 0.045 0.05
-1
0
1
P
o
r
t
a
d
o
r
a
s

y

m
o
d
u
l
a
d
o
r
a
0.02 0.025 0.03 0.035 0.04 0.045 0.05
-1
0
1
V
H
1

n
o
r
m
.
0.02 0.025 0.03 0.035 0.04 0.045 0.05
-1
0
1
V
H
2

n
o
r
m
.
0.02 0.025 0.03 0.035 0.04 0.045 0.05
-1
0
1
V
H
3

n
o
r
m
.
0.02 0.025 0.03 0.035 0.04 0.045 0.05
-2
0
2
V
H
T

n
o
r
m
.


Figura 2.18. Modulacin PS-PWM con portadoras desfasadas.
Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-47

Observando las figuras adjuntas se puede destacar:

- La suma de tensiones de salida de las diferentes etapas (v
HT
) est formada por 7
niveles y se puede verificar a partir de la expresin:

7 1 3 2 1 2 = + = + = n k

- Los diferentes puentes en H estn siempre conmutando producindose dos
conmutaciones por cada ciclo de portadora lo que genera un rizado de
conmutacin sobre la corriente de salida de frecuencia doble a la de la portadora.

- Esta modulacin, sin aplicar ningn mtodo matemtico adicional, hace que las
diferentes etapas del multinivel tengan los mismos intervalos de conmutacin en
un periodo de red. Esto provoca que aparezca un equilibrio de las tensiones de
entrada a las diferentes etapas y que converjan a un mismo valor. No se obtiene
un punto de trabajo diferente para cada conjunto fotovoltaico.


En base a la ltima consideracin, se modifica la estrategia de modulacin de modo que
se consiga ubicar las tensiones de entrada de las diferentes etapas en puntos
independientes. Para ello se propone ponderar el ciclo de trabajo en funcin del
coeficiente (K
i
) obteniendo n ciclos de trabajo independientes.

d
K
K
d d
n
i
i
i
i i

1

=
= =

donde
i
se define en el intervalo [0, 1].


Esta hiptesis se puede plantear en base a la definicin de la variable de escalado de la
corriente K vista anteriormente:

n
n
i
i
K K K K K + + + = =

=
...
2 1
1


Dividiendo el ciclo de trabajo total en n ciclos de trabajo, funcin de variables obtenidas
en cada bloque de control externo (K
i
), se consiguen n lazos globales independientes
que permiten el control de cada una de las etapas. De este modo, cada etapa dispone de
una secuencia de conmutacin independiente posicionando cada una de las tensiones de
entrada en el punto de trabajo pertinente. De forma esquemtica se puede representar
como:






Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-48


















Figura 2.19. Diagrama simplificado de la modulacin PS-PWM.



2.2.2.2.2.2 Modulacin PD-PWM.

La modulacin PD-PWM se caracteriza por la obtencin de la secuencia de
conmutacin de los diversos puentes en H a partir de la comparacin entre la salida del
control PR y n portadoras uniformemente desplazadas en continua tal y como se
muestra en la siguiente figura:













Figura 2.20. Modulacin PD-PWM con portadoras simtricas respecto al eje central.

Cada portadora est asignada a un puente en H y se compone de dos seales triangulares
complementarias, una positiva y otra negativa. La amplitud de cada portadora es de 1/n.

La figura 2.20 se representa con portadoras de baja frecuencia para que se observe la
idea de la modulacin. A continuacin se vuelve a representar la figura con las
portadoras trabajando a 20 kHz y se adjunta la secuencia de conmutacin que se obtiene
para cada uno de los puentes del multinivel. Se supone como ejemplo que el multinivel
est formado por tres etapas.
d

1
d
1
u
1

i
d
i
u
i

n
d
n
u
n
1
-1
1
-1
1
-1
0.02 0.022 0.024 0.026 0.028 0.03 0.032 0.034 0.036 0.038 0.04
-1
-0.8
-0.6
-0.4
-0.2
0
0.2
0.4
0.6
0.8
1
Portadora etapa i - positiva

Portadora etapa 1 - positiva

Portadora etapa 1 - negativa

Portadora etapa i - negativa

Portadora etapa n - negativa

Portadora etapa n - positiva

Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-49
0.02 0.025 0.03 0.035 0.04 0.045 0.05
-1
0
1
P
o
r
t
a
d
o
r
a
s

y

m
o
d
u
l
a
d
o
r
a
0.02 0.025 0.03 0.035 0.04 0.045 0.05
-1
0
1
V
H
3

n
o
r
m
.
0.02 0.025 0.03 0.035 0.04 0.045 0.05
-1
0
1
V
H
2

n
o
r
m
.
0.02 0.025 0.03 0.035 0.04 0.045 0.05
-1
0
1
V
H
1

n
o
r
m
.
0.02 0.025 0.03 0.035 0.04 0.045 0.05
-2
0
2
V
H
T

n
o
r
m
.


Figura 2.21. Modulacin PD-PWM y secuencia de conmutacin para multinivel de tres etapas.

Las ecuaciones de estado que definen el inversor multinivel teniendo en cuenta la
situacin mostrada en la figura 2.21 son:

( ): 3 / 1 0 < < d

= =
= =
= = =
= = =
3
3
3 3
2
2
2 2
1 1 1 1
1
1 1
1 1 1



PV
dc
C
PV
dc
C
L PV dc PV
dc
C
g dc g H
L
L
i
dt
dv
C i
i
dt
dv
C i
i u i i i
dt
dv
C i
v v u v v
dt
di
L v


( ) ( ): 3 / 2 3 / 1 < < d

= =
= = =
= = =
+ = + = =
3
3
3 3
2 2 2 2
2
2 2
1 1 1
1
1 1
2 2 1 2 1


PV
dc
C
L PV dc PV
dc
C
L PV dc PV
dc
C
g dc dc g H H
L
L
i
dt
dv
C i
i u i i i
dt
dv
C i
i i i i
dt
dv
C i
v v u v v v v
dt
di
L v




Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-50
( ) : 1 3 / 2 < < d

= = =
= = =
= = =
+ + = + + = =
L PV dc PV
dc
C
L PV dc PV
dc
C
L PV dc PV
dc
C
g dc dc dc g H H H
L
L
i u i i i
dt
dv
C i
i i i i
dt
dv
C i
i i i i
dt
dv
C i
v v u v v v v v v
dt
di
L v


3 3 3 3
3
3 3
2 2 2
2
2 2
1 1 1
1
1 1
3 3 2 1 3 2 1



Si esta configuracin no se modifica, el tiempo de conexin de las diferentes etapas es
diferente y menor a medida que incrementamos el nmero de ellas. Esto provoca que la
tensin de entrada de las etapas no converja al punto de trabajo y que tenga un valor
menor como mayor sea el tiempo de conexin.

Para evitar esta situacin, siguiendo los estudios presentados en [T.1], se plantea la
rotacin de la posicin de las portadoras a frecuencia fija.

0.02 0.022 0.024 0.026 0.028 0.03 0.032 0.034 0.036 0.038 0.04
-1
-0.8
-0.6
-0.4
-0.2
0
0.2
0.4
0.6
0.8
1
0.02 0.022 0.024 0.026 0.028 0.03 0.032 0.034 0.036 0.038 0.04
-1
-0.8
-0.6
-0.4
-0.2
0
0.2
0.4
0.6
0.8
1
0.02 0.022 0.024 0.026 0.028 0.03 0.032 0.034 0.036 0.038 0.04
-1
-0.8
-0.6
-0.4
-0.2
0
0.2
0.4
0.6
0.8
1

(a) (b) (c)

Figura 2.22. (a) Secuencia A; (b) Secuencia i; (c) Secuencia n.

Se asigna como portadora para el inversor n el resultado de la rotacin:















Figura 2.23. Rotacin de portadoras a frecuencia fija.
Portadora para inversor n.

Portadora para inversor i.

Portadora para inversor 1.

0.02 0.025 0.03 0.035 0.04 0.045 0.05
-1
0
1
0.02 0.025 0.03 0.035 0.04 0.045 0.05
-1
0
1
0.02 0.025 0.03 0.035 0.04 0.045 0.05
-1
0
1
Captulo 2 Definicin Terica de las Etapas Inversoras y del Sistema de Control.

2-51
La validacin de este mtodo muestra que las tensiones de las diferentes etapas
convergen a un mismo valor igual al promedio de la suma de tensiones de referencia
que entran a los n lazos externos. Ser descartada esta opcin puesto que interesa
disponer de una modulacin capaz de generar una secuencia de conmutacin que
posicione cada conjunto fotovoltaico en el punto de trabajo pertinente.

Recapitulando a lo observado con la modulacin PS-PWM, y teniendo en cuenta que no
se puede descomponer el ciclo de trabajo en n ciclos distintos, se plantea el uso de las
variables K
i
para determinar el momento en que se produce la rotacin de la posicin
relativa de las portadoras. La asignacin se realiza siguiendo la secuencia:








Figura 2.24. Esquema de rotacin de las portadoras en funcin de las variables K
i
.


donde:



= = =
= = =
n
i
i
n
rot n
n
i
i
i
rot i
n
i
i
rot
K
K
T T
K
K
T T
K
K
T T
1 1 1
1
1
; ; ; para i = 1, 2, , n.

T
rot
: Periodo de rotacin que ser mltiplo del periodo de la portadora.

Con este mtodo se obtienen n secuencias de conmutacin distintas a partir de un solo
ciclo de trabajo. Estas secuencias de conmutacin se caracterizan por solamente tener
una conmutacin por cada ciclo de portadora introduciendo por tanto un rizado sobre la
corriente de salida de frecuencia igual a la de las portadoras. As mismo, un menor
nmero de conmutaciones debe producir un mejor rendimiento de las etapas inversoras
y menor estrs en los transistores que las constituyen.

Como cada etapa tiene asignada una secuencia de conmutacin distinta y funcin de la
variable K
i
procedente del lazo externo pertinente, las tensiones de entrada podrn
converger a los valores fijados como referencias por los MPPTs.







T
1
T
i
T
n
Secuencia 1 Secuencia i Secuencia n
T
rot
Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-1
CAPTULO 3











Ejemplo de Diseo y Simulacin de un
Inversor Central y de un Inversor Multinivel.

















Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-2

































Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-3
Resumen

Este captulo tiene la finalidad de mostrar un ejemplo de diseo para el Inversor
Central y otro para el Inversor Multinivel.

En primer lugar se definen las caractersticas del panel fotovoltaico a partir de las
curvas de funcionamiento. Una vez conocido el conjunto fotovoltaico se prosigue con la
definicin del margen de trabajo y el diseo del controlador del lazo externo que
asegure la estabilidad del sistema.

El lazo interno est formado por un controlador proporcional resonante a la frecuencia
de la red elctrica. Es preciso ajustar las ganancias proporcional e integral para
conseguir que, la corriente inyectada a la red, tenga una dinmica de seguimiento
rpida frente a una referencia determinada. La salida del filtro resonante se transfiere
al bloque modulador para conseguir la secuencia de conmutacin de los puentes en H.

Se utilizan dos bloques moduladores distintos para cada implementacin siguiendo los
estudios tericos presentados. En el caso concreto del inversor multinivel se ven las
modulaciones PS-PWM y PD-PWM descritas en el captulo anterior.

Todos los casos presentados como ejemplo han sido simulados mediante Matlab con la
finalidad de verificar el funcionamiento. Se adjuntan figuras que muestran los
resultados obtenidos y un conjunto de casusticas que pueden darse en el
funcionamiento normal de los inversores.

Los objetivos principales a mostrar sern:

- Extraccin de la mxima energa posible de los paneles fotovoltaicos haciendo
que el inversor trabaje en el punto de mxima potencia de la curva del panel.

- Transferencia de la energa a la red elctrica mediante inyeccin de corriente
con factor de potencia unitario.

- Funcionamiento de los inversores con distintas condiciones de irradiancia y
puntos de trabajo diferentes al de mxima potencia.














Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-4
3.1. Ejemplo de diseo de un Inversor Central.


Como se ha presentado en el captulo 2, el inversor central se caracteriza por tener un
nico conjunto de paneles fotovoltaicos conectados en serie y cuyas series se conectan
en paralelo entre ellas. El resultado de esta configuracin se puede ver como un panel
equivalente que tendr una nica curva de potencia con tantos mximos como paneles
de caractersticas (fsicas o ambientales) distintas haya en la agrupacin.












Figura 3.1. Agrupacin de paneles fotovoltaicos y concepto de panel equivalente.

Para simplificar el ejemplo se supone que la agrupacin de paneles fotovoltaicos es
homognea y que todos ellos estn sometidos a las mismas condiciones de irradiancia y
temperatura. Para el inversor central a disear, se propone utilizar una curva igual a la
que se presenta en [T.1] y una modulacin con portadora triangular a frecuencia fija.
Las curvas de comportamiento se muestran en las siguientes figuras:

0 50 100 150 200 250 300 350 400 450
0
1
2
3
4
5
6
7


X: 370.6
Y: 5.7
I
P
V

[
A
]
VPV [V]
X: 0
Y: 6.1
X: 442
Y: 0 1000W/m
2
& 27 C
0 50 100 150 200 250 300 350 400 450
0
500
1000
1500
2000
2500


P
o
t
e
n
c
i
a

[
W
]
VPV [V]
X: 370.6
Y: 2112
1000W/m
2
& 27 C


Figura 3.2. Curvas caracterstica del panel fotovoltaico.


Se pueden resumir los valores ms importantes en la siguiente tabla:

Irradiancia [W/m
2
] T [K] V
oc
[V] I
sc
[A] V
mp
[V] I
mp
[A] P
mp
[W]
1000

300 442 6.1 370.6 5.7 2112

Tabla 3.1. Valores de caracterizacin de la curva del panel.
v
PV
i
PV
v
PV
i
PV
Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-5
Teniendo en cuenta las ecuaciones de diseo obtenidas en el captulo 2, es conveniente
representar una curva que relacione la energa almacenada en el condensador con la
variable m. Como la referencia entregada por el MPPT es en tensin, se adjunta la
misma evolucin de la variable m en funcin de la tensin en bornes del condensador
v
dc
.

0 50 100 150 200
-1.5
-1
-0.5
0
0.5
1
1.5
2
m

[
J
s
-
1
]
Energa almacenada en el condensador Esto [J]


1000W/m
2
& 27 C
0 50 100 150 200 250 300 350 400 450
-1.5
-1
-0.5
0
0.5
1
1.5
2
m

[
J
s
-
1
]
VPV [V]


1000W/m
2
& 27 C

(a) (b)

Figura 3.3. Valor de m en funcin de: (a) E
sto
, (b) v
dc
.

Las curvas caractersticas mostradas pueden sufrir variaciones en funcin de la
temperatura de trabajo y de los cambios de iluminacin (irradiancia). Es conveniente
representar estas variaciones para poder establecer los valores mximos que se van a
tener en el circuito.
0 50 100 150 200 250 300 350 400 450
0
1
2
3
4
5
6
7


X: 370.6
Y: 5.7
I
P
V

[
A
]
VPV [V]
X: 367.2
Y: 4.529
X: 353.6
Y: 2.841
1000W/m
2
800W/m
2
500W/m
2
0 50 100 150 200 250 300 350 400 450
0
500
1000
1500
2000
2500


X: 370.6
Y: 2112
P
o
t
e
n
c
i
a

[
W
]
VPV [V]
X: 367.2
Y: 1663
X: 353.6
Y: 1005
1000W/m
2
800W/m
2
500W/m
2

Figura 3.4. Variacin del punto de mxima potencia en funcin de la irradiancia.

0 50 100 150 200 250 300 350 400 450 500
0
1
2
3
4
5
6
7


I
P
V

[
A
]
VPV [V]
X: 401.2
Y: 5.734
X: 326.4
Y: 5.615
15C
25C
35C
45C
0 50 100 150 200 250 300 350 400 450 500
0
500
1000
1500
2000
2500


X: 401.2
Y: 2300
P
o
t
e
n
c
i
a

[
W
]
VPV [V]
X: 377.4
Y: 2143
X: 350.2
Y: 1988
X: 326.4
Y: 1833
15C
25C
35C
45C

Figura 3.5. Variacin del punto de mxima potencia en funcin de la temperatura.
Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-6
0 50 100 150 200 250 300 350 400 450
-1.5
-1
-0.5
0
0.5
1
1.5
2
m

[
J
s
-
1
]
Tensin en el condensador Vdc [V]


1000W/m
2
800W/m
2
500W/m
2
0 50 100 150 200 250 300 350 400 450 500
-1.5
-1
-0.5
0
0.5
1
1.5
2
m

[
J
s
-
1
]
Tensin en el condensador Vdc [V]


15C
25C
35C
45C

(a) (b)

Figura 3.6. Variacin de m en funcin de la irradiancia (a) y la temperatura (b).


Irradiancia [W/m
2
] T [K] V
oc
[V] I
sc
[A] V
mp
[V] I
mp
[A] P
mp
[W]
1000

300 442 6.1 370.6 5.7 2112
800 300 438.6 4.88 367.2 4.53 1663
500 300 425 3.05 353.6 2.84 1005
1000 288 472.6 6.1 401.2 5.73 2300
1000 298 448.8 6.1 377.4 5.68 2143
1000 308 421.6 6.1 350.2 5.67 1988
1000 318 397.8 6.1 326.4 5.61 1833

Tabla 3.2. Resumen de los cambios en funcin de la irradiancia y la temperatura.

A partir de los resultados mostrados en las figuras anteriores se puede concluir que la
potencia ser mxima cuando la irradiancia sea de 1000 W/m
2
(valor que no se supera
en condiciones ambientales normales) y la temperatura sea mnima.

Para simplificar el juego de resultados a mostrar en la tesis, solamente se evalan saltos
en irradiancia y la accin del MPPT. La temperatura se considera constante y de valor
igual a 27 C (300 K). No obstante, en un diseo real que deba funcionar en el exterior,
se debe tener en cuenta el valor mnimo de temperatura que se puede alcanzar, pues de
l depende el valor de tensin a soportar por el condensador de entrada.














Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-7
3.1.1. Diseo del controlador del lazo externo.

El diseo del controlador del lazo externo se realiza a partir del juego de ecuaciones
obtenidas en el apartado terico y teniendo en cuenta las caractersticas del conjunto
fotovoltaico que se va a conectar en la entrada del sistema inversor.

Condicin 1 1 < m
Condicin 2
1 < ; 0 <
Condicin 3
) 1 (
) 2 ( 4
2

>
g g
T A
m

Condicin 4
g g
T A
m

2
2

<

Tabla 3.3. Condiciones de diseo.

Condicin 1:

El parmetro m debe ser menor que la unidad. Observando las curvas del panel se
puede ver la evolucin de m en funcin de la tensin en bornes del condensador de
entrada (v
dc
). Suponiendo que puede variar la irradiancia sobre el panel y que la
temperatura es estable a 300 K deberemos considerar como peor caso los valores de m
para 1000 W/m
2
.















Figura 3.7. Definicin del margen de trabajo en funcin de m.


Cumplir esta condicin implica que la tensin en la entrada del sistema inversor debe
ser mayor de 27.71 V. No obstante, la condicin reductora del inversor Buck, establece
un margen de trabajo mucho ms estricto y que variar entre la tensin de circuito
abierto (V
oc
= 442 V) y la amplitud de la tensin de red tal y como indica la ecuacin:

( )
2 *
1
g g dc dc
K L A v v + +

0 50 100 150 200 250 300 350 400 450
-1.5
-1
-0.5
0
0.5
1
1.5
2


X: 27.71
Y: 1.001
m

[
J
s
-
1
]
VPV [V]
X: 311.1
Y: 0.0814
X: 442
Y: -1.078
1000W/m
2
& 27 C
Margen de
funcionamiento

Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-8
Realmente esta condicin vara hacindose ms restrictiva conforme K aumenta y, por
tanto, conforme se extrae mayor potencia del sistema fotovoltaico. Sin embargo, para
definir el margen de estabilidad del controlador externo, se debe utilizar el valor A
g
y
rizado nulo sobre v
dc
como lmites de diseo
g dc
A v
*
.


Condicin 2:

1 < :

A partir de esta condicin se puede fijar el valor de que debe ser menor que la unidad.
Se elige el valor = 0.875 ya que esta variable se corresponde con el cero del
controlador y ste debe posicionarse lo ms cercano posible a z = 1 con el fin de
minimizar el efecto desestabilizador introducido por el elemento integrador.

0 < :

La variable se calcula en la condicin (3) pero deber tener un valor negativo para
cumplir la ecuacin obtenida en (2).


Condiciones 3 y 4:

En esta condicin se define el valor de que se requiere para cumplir la estabilidad
dentro del margen de trabajo lmite establecido anteriormente:

g g g g
T A
m
T A
m

2
) 1 (
) 2 ( 4
2 2

< <
+



donde:
V V A
g
12 . 311 2 220 = = ;
1
0814 . 0

= s J m ; 875 . 0 = ; sec 02 . 0 =
g
T ;

Se obtiene:

3 3
10 0961 . 0 10 114 . 2

< < Valor intermedio: 001105 . 0 =

Con estos valores se puede trazar el lugar geomtrico de las races sobre el plano Z y
observar que margen de estabilidad se obtiene. Para ello es necesario encontrar la
funcin en lazo abierto (G
LA
(z)) con ganancia variable en funcin de m:

{ } 1 5 . 0 ) 5 . 0 2 ( ) 1 ( ) (
2 2 2
+ + + =
g g g g
T A z T A m z m z P

0 ) ( 1 = + z G
LA


( )
0
1 5 . 0 ) 5 . 0 2 (

1
2 2 2
2
=
+ + +
+
+
g g g g
T A z T A z
z z m



Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-9
De todo el rango de valores posibles del parmetro , se elige el correspondiente al valor
intermedio. Si se evala la ubicacin de las races para m = [-7.5, 1], se obtiene:

-1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1
-1
-0.8
-0.6
-0.4
-0.2
0
0.2
0.4
0.6
0.8
1
0.1/T
0.2/T
0.3/T
0.4/T
0.5/T
0.6/T
0.7/T
0.8/T
0.9/T
/T
0.1/T
0.2/T
0.3/T
0.4/T
0.5/T
0.6/T
0.7/T
0.8/T
0.9/T
/T
0.1
0.2
0.3
0.4
0.5
0.6
0.7
0.8
0.9
System: sys
Gain: 0.935
Pole: -0.0367 + 0.991i
Damping: 0.00505
Overshoot (%): 98.4
Frequency (rad/sec): 80.4
Root Locus
Real Axis
I
m
a
g
in
a
r
y

A
x
i
s


Figura 3.8. Lugar geomtrico de las races sobre el plano Z con = -0.0011.


El tipo de respuesta dinmica para la tensin v
dc
dentro del margen de variacin
comprendido entre V
oc
y A
g
, se puede obtener trazando el lugar geomtrico de las races
para m = [-1.078, 0.0814], o lo que es lo mismo, v
dc
= [442, 311.1].



















Figura 3.9. Lugar geomtrico de las races sobre el plano Z.
m = [-1.078, 0.0814] y = -0.0011

=
=
=
934 . 0
0352 . 0
078 . 1
2
1
polo
polo
m y

=
=
=
841 . 0
0884 . 0
0814 . 0
2
1
polo
polo
m

Los pares de polos obtenidos son reales y por tanto la respuesta dinmica ser de tipo
sobreamortiguado.

-1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1
-1
-0.8
-0.6
-0.4
-0.2
0
0.2
0.4
0.6
0.8
1
/T
0.1/T
0.2/T
0.3/T
0.4/T
0.5/T
0.6/T
0.7/T
0.8/T
0.9/T
/T
0.1
0.2
0.3
0.4
0.5
0.6
0.7
0.8
0.9
0.1/T
0.2/T
0.3/T
0.4/T
0.5/T
0.6/T
0.7/T
0.8/T
0.9/T
Root Locus
Real Axis
I
m
a
g
i
n
a
r
y

A
x
i
s
m = -1.078
m = 0.0814
Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-10
Como resumen, los parmetros del controlador del lazo externo son:


0.875

-0.0011
T
g
0.02
A
g 2 220

Tabla 3.4. Valor de los parmetros del lazo externo de control.



3.1.2. Diseo del controlador del lazo interno.

El diseo del controlador del lazo interno, encargado de generar una corriente sinusoidal
y en fase con la tensin de red, depende de las ganancias del filtro resonante PI. A partir
del criterio de estabilidad de Routh-Hurwith se ha visto que estas dos variables (K
p
y K
i
)
deben ser positivas. No obstante, la eleccin de su valor determinar la velocidad con la
que la corriente de salida (i
L
) sigue a la referencia (Kv
g
) fijando como objetivo el
seguimiento en un tiempo menor de un periodo de red.

Fijando el valor del inductor L a 950uH (valor que se utiliza posteriormente en la
implementacin del prototipo), queda por calcular el valor de las ganancias. Teniendo
en cuenta el diagrama de bloques visto en la figura 2.10:









Figura 3.10. Diagrama de bloques del sistema de control interno.

donde:

2 2
2 2
2 2

) (
g
i g p p
g
i
p L
s
s K K s K
s
s K
K s G

+
+ +
=
+
+ =

La funcin de transferencia en lazo cerrado a analizar es:

2 2 2 3
2 2
2
) (


1
) (
) (
) (
g p g i p
g g p
i
p
Lref
L
LC
K s L K s K s L
K
K K
s K s
K
K K
s I
s I
s H


+ + + +
|
|

\
|

+ +
|
|

\
|
= =

A partir de esta funcin de transferencia se pretende estudiar la ubicacin de los polos y
ceros sobre el lugar geomtrico de las races con el fin de determinar el valor de las
ganancias.
s L
1
) (s G
L
) (s V
g
) (s I
L
) (s I
Lref ) (s V
H
s L
1
) (s G
L
) (s I
L
) (s I
Lref ) (s V
H
K
1

Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-11
El valor de escalado K entre la tensin v
g
y la corriente de referencia se elige
considerando una incidencia de luz sobre el panel fotovoltaico de 1000 W/m
2
.

pico Lref
g
mp
A i
A
m W P
K 56 . 13 044 . 0
2 220
2112 2
) / 1000 ( 2
2
2
2
2
= = = =

Mediante el polinomio caracterstico del lazo de control interno:

2 2 2 3
) ( ) (
g p g i p
K s L K s K s L s P + + + + =

Se obtienen las funciones de transferencia en lazo abierto que nos permite ver la
evolucin de los polos en funcin de K
p
y K
i
.

0 ) ( 1 ) (
) (
= + =
p
K f
LA
s G s P
s L K s L
s K
s G
g i
g
p
K f
LA
p
) (
) (
) (
2 3
2 2
) (

+ +
+
=

0 ) ( 1 ) (
) (
= + =
i
K f LA
s G s P
2 2 2 3
) (

) (
g p g p
i
K f LA
K s L s K s L
s K
s G
i
+ + +
=


En primer lugar se fija el valor de K
p
. Para evitar que se sature la salida del control
implementado en la FPGA, se ha elegido un valor igual a 140.

Adems, un valor muy elevado de esta ganancia, produce una amplificacin del rizado
de conmutacin que se traspasa directamente al ciclo de trabajo y puede producir
conmutaciones en la seal de control cuando realmente no debe haberlas. Si el valor es
demasiado pequeo, hace que la respuesta dinmica de la corriente sea lenta.

Una vez determinado el valor de K
p
, se evala la funcin de transferencia en lazo
abierto que nos permite ver la evolucin de los polos en funcin de K
i
.

0 ) ( 1 ) (
) (
= + =
i
K f LA
s G s P
2 2 2 3
) (

) (
g p g p
i
K f LA
K s L s K s L
s K
s G
i
+ + +
=

El valor de ajuste de la ganancia K
i
es aquel que permite obtener un tiempo de
establecimiento menor de un periodo de red.

Teniendo que la funcin de transferencia es de tercer orden, las races del denominador
se pueden definir como:


2 , 1
j s = y =
3
s

Si el tiempo de establecimiento se define como:

Error 5%

3
=
s
t

Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-12
Se puede calcular el valor mnimo de :

150
02 . 0
3 3
= = >
s
t


La evolucin grfica de los polos para un margen de la ganancia K
i
= [0, 50000] y
considerando K
p
= 140 es:

















Figura 3.11. Lugar geomtrico de las races para K
i
= [0, 50000].


La dinmica del sistema viene determinada por los polos complejos conjugados ya que
el polo real est alejado del eje imaginario. Se puede considerar dominancia de polos y
elegir el valor de K
i
que cumpla > 150.

-250 -200 -150 -100 -50 0
-300
-200
-100
0
100
200
300
0.06 0.13 0.21 0.29 0.4 0.54
0.7
0.9
0.06 0.13 0.21 0.29 0.4 0.54
0.7
0.9
50
100
150
200
250
300
50
100
150
200
250
300
350
System: sys
Gain: 5e+004
Pole: -179 + 259i
Damping: 0.569
Overshoot (%): 11.4
Frequency (rad/sec): 315
System: sys
Gain: 4.2e+004
Pole: -150 - 276i
Damping: 0.478
Overshoot (%): 18.1
Frequency (rad/sec): 314
Root Locus
Real Axis
I
m
a
g
in
a
r
y

A
x
i
s


Figura 3.12. Evolucin de los polos dominantes para K
i
= [0, 50000].



-15 -10 -5 0
x 10
4
-400
-300
-200
-100
0
100
200
300
400
1
1
1 1 1 1 1 1
1
1
2e+004 4e+004 6e+004 8e+004 1e+005 1.2e+005 1.4e+005
1 1 1 1 1 1
Root Locus
Real Axis
I
m
a
g
i
n
a
r
y

A
x
i
s
polos
Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-13
Se cumple la condicin del tiempo de establecimiento para K
i
> 42000 y se elige el
valor de K
i
= 50000 para el diseo ya que, ms adelante facilita el diseo digital del
filtro resonante.

El valor de los polos para esta condicin es:

= =
= =
j j s
s
259 179
10 47 . 1
3 , 2
5
1



El tiempo de establecimiento esperado es:

. 0167 . 0
179
3 3
seg t
s
= = =




Llegado este punto, se genera un fichero en Matlab\Simulink que permite reproducir el
diagrama de bloques visto en la figura 3.10 y se verifica la respuesta transitoria
mediante simulacin.

vG
K
i Lref
i L
ti me
Uti l i ty gri d
Ki .s
s +(100*pi )^2
2
1
950e-6s
K
[vg]
Kp
1/K
[vg]
Cl ock

Figura 3.13. Fichero de simulacin del lazo de control interno.

A continuacin se adjuntan dos simulaciones para ver la evolucin temporal de la
corriente para diferentes valores de ganancia.

K
p
= 140; K
i
= 100:
0 1 2 3 4 5 6 7 8 9 10
-20
-10
0
10
20


I
L

y

I
L
r
e
f
Tiempo [sec]
X: 6.694
Y: -13.05
ILref
IL
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035 0.04
-20
-10
0
10
20
I
L

v
s
.

I
L
r
e
f
Tiempo [sec]


ILref
IL

Figura 3.14. Evolucin temporal de la corriente (K
p
= 140 y K
i
= 100).
Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-14
La dinmica transitoria con estos valores de ganancia es lenta, no pudiendo afirmar que
la corriente sigue a la referencia de forma instantnea. El valor del tiempo de
establecimiento obtenido es de 6.7 segundos.


K
p
= 140; K
i
= 50000:
0 1 2 3 4 5 6 7 8 9 10
-20
-10
0
10
20
I
L

y

I
L
r
e
f
Tiempo [sec]


ILref
IL
0 0.005 0.01 0.015 0.02 0.025 0.03 0.035 0.04
-20
-10
0
10
20
I
L

v
s
.

I
L
r
e
f
Tiempo [sec]


ILref
IL


Figura 3.15. Evolucin temporal de la corriente (K
p
= 140 y K
i
= 50000).


El valor que se elige para el diseo del controlador es el de K
p
= 140 y K
i
= 50000, pues
ofrece una respuesta dinmica rpida permitiendo la hiptesis de que la tensin de red y
la corriente son sinusoidales y estn en fase. La siguiente figura muestra la tensin de
red junto a la corriente observndose el desfase nulo entre ellas.

9 9.01 9.02 9.03 9.04 9.05 9.06
-40
-30
-20
-10
0
10
20
30
40
I
L

y

V
G
/
1
0
Tiempo [sec]


VG/10
IL


Figura 3.16. Detalle del desfase entre la tensin de red y la corriente de salida.











Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-15
3.1.3. Validacin del diseo mediante simulacin.

Para validar el diseo se ha generado un fichero de simulacin en entorno
Matlab\Simulink tal y como se muestra en la siguiente figura:

i pv1
vg
vdc1
iL
d
K
U1
i Lref
[vdc1]
[i pv1]
Uti l ity gri d
300
Temperatura(K)
d u1
Modul acin
iL
iLref
v dc1
d
Lazo Interno
vdc1
vdc1*
KT
Lazo Externo
1000
Irradianci a
ipv1
vg
u1
vdc1
iL
Inversor Central
[i L]
[K]
[vdc1]
[u1]
[i pv1]
[vg]
[vg]
[vg]
[u1]
[vdc1]
[vdc1]
[i L]
Irradi ance
T
vpv
i pv
Conj unto Paneles Sol ares


Figura 3.17. Fichero de simulacin para el inversor central.

Los bloques internos que constituyen el fichero se representan a continuacin:

Conjunto Paneles Solares: Modelado matemtico de la agrupacin de paneles
fotovoltaicos.
1
i pv
e
u
e
u
ns
conexi n panel es - seri e
np
conexi n panel es - paral el o Saturati on
R
Respuesta espectral
[Vt]
[Isat]
[Ig]
[Vt]
[Isat]
[Ig]
u-1
(1/T0)-(1/u)
(u/T0)^3
Eg
Energy Band Gap
Isat0
Corri ente saturaci on a T0
1/k
k
Constante Bol tzmann
1/n
n
Coef. Emi si n
1/qe
qe
Carga el ectrn
A
Area cel ul a
3
Irradi anci a
2
Temperatura
1
vpv

Figura 3.18. Modelado de la agrupacin de paneles fotovoltaicos.


Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-16
Inversor Central: Modelo matemtico del inversor central.

















Figura 3.19. Modelado del inversor central.


Lazo Externo: Controlador basado en el concepto de balance energtico.

1
KT
ti me
ZOH 0.02sec
[IC]
-K-
Gamma
z-Alfa
z-1
Clock
-K-
0.5C.
-K-
0.5C
2
vdc1*
1
vdc1


Figura 3.20. Modelado del lazo de control externo.


Lazo Interno: Lazo de control de la corriente proporcional resonante.

1
d
error
Kp
Gananci a P
Ki .s
s +(100*pi )^2
2
Fil tro resonante 50Hz
3 vdc1
2
i Lref
1 i L


Figura 3.21. Modelado del lazo de control interno.



2
i L
1
vdc1
VH
1
s
ipv 1
iL
u1
v dc1
1/L
3
u1
2
vg
1
i pv1
1
vdc1
1
s
1/C
3
u1
2
iL
1
i pv1
Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-17
Modulacin: Bloque modulador que segn la modulacin a utilizar podr basarse en el
mtodo de mltiples moduladoras o bien en el mtodo de portadora modificada.

1
u1
Port1
D_neg
D
Portadora
1 a -1
-1
1
d


Figura 3.22. Bloque modulador para mltiples moduladoras.


1
u1
Port1
Port2
Portadora
1 a 0
Portadora
0 a -1
1
d


Figura 3.23. Bloque modulador para portadora modificada.


Los valores de los parmetros utilizados en el fichero de simulacin son:

Panel:

Irradiancia [W/m
2
] T [K] V
oc
[V] I
sc
[A] V
mp
[V] I
mp
[A] P
mp
[W]
1000

300 442 6.1 370.6 5.7 2112


Constantes de diseo:

( ) ( )
V V K K F C
H L T . - . t t A v
dc i p
g g g g
6 . 370 ; 50000 ; 140 ; 2200
; 950 sec; 02 . 0 ; 0011 0 ; 875 0 ; 50 2 sin 2 220 sin
*
= = = =
= = = = = =




Modulacin:

Mltiples moduladoras: Portadora triangular con frecuencia f = 20 kHz.

Portadora modificada: Dos portadoras triangulares complementarias con frecuencia
f = 20 kHz.




Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-18
0.02 0.0201 0.0202
-1
-0.8
-0.6
-0.4
-0.2
0
0.2
0.4
0.6
0.8
1
P
o
r
t
a
d
o
r
a
Tiempo [sec]

0.02 0.0201 0.0202
-1
-0.8
-0.6
-0.4
-0.2
0
0.2
0.4
0.6
0.8
1
P
o
r
t
a
d
o
r
a
Tiempo [sec]

(a) (b)

Figura 3.24. Portadora para (a) Mltiples moduladoras, (b) Portadora modificada.


Los resultados que se obtienen a partir de la simulacin muestran el correcto
funcionamiento del inversor. Se resumen a continuacin algunas de las formas de onda
ms representativas realizando una comparativa entre ambas modulaciones. No se
profundizar en exceso sobre el funcionamiento del inversor central, pues ya se estudia
esta estructura en [T.1] y [T.2], y el objetivo de esta tesis es la validacin en laboratorio
del inversor multinivel.

La presentacin de los resultados se realiza siguiendo la siguiente estructura:







0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-400
-200
0
200
400
Tiempo [sec]
T
e
n
s
i

n

r
e
d
MULTIPLES MODULADORAS


Vg
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-40
-20
0
20
40
Tiempo [sec]
C
o
r
r
i
e
n
t
e

I
L


IL

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-400
-200
0
200
400
Tiempo [sec]
T
e
n
s
i

n

r
e
d
PORTADORA MODIFICADA


Vg
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-40
-20
0
20
40
Tiempo [sec]
C
o
r
r
i
e
n
t
e

I
L


IL


Figura 3.25. Tensin de red (v
g
) y corriente de salida (i
L
).

Simulacin con modulacin
por mltiples moduladoras
Simulacin con modulacin
por portadora modificada
Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-19
1 1.01 1.02 1.03 1.04 1.05 1.06
-40
-20
0
20
40


X: 1.025
Y: 31.11
T
e
n
s
i

n

r
e
d
/
1
0
;

C
o
r
r
i
e
n
t
e

I
L
Tiempo [sec]
MULTIPLES MODULADORAS
X: 1.005
Y: 13.57
Vg/10
IL
1.018 1.019 1.02 1.021 1.022
-20
-10
0
10
20
T
e
n
s
i

n

r
e
d
/
1
0
;

C
o
r
r
i
e
n
t
e

I
L
Tiempo [sec]


Vg/10
IL
1.028 1.029 1.03 1.031 1.032
-20
-10
0
10
20
T
e
n
s
i

n

r
e
d
/
1
0
;

C
o
r
r
i
e
n
t
e

I
L
Tiempo [sec]


Vg/10
IL

1 1.01 1.02 1.03 1.04 1.05 1.06
-40
-20
0
20
40


X: 1.005
Y: 13.54
T
e
n
s
i

n

r
e
d
/
1
0
;

C
o
r
r
i
e
n
t
e

I
L
Tiempo [sec]
PORTADORA MODIFICADA
X: 1.025
Y: 31.1
Vg/10
IL
1.018 1.019 1.02 1.021 1.022
-20
-10
0
10
20
T
e
n
s
i

n

r
e
d
/
1
0
;

C
o
r
r
i
e
n
t
e

I
L
Tiempo [sec]


Vg/10
IL
1.028 1.029 1.03 1.031 1.032
-20
-10
0
10
20
T
e
n
s
i

n

r
e
d
/
1
0
;

C
o
r
r
i
e
n
t
e

I
L
Tiempo [sec]


Vg/10
IL


Figura 3.26. Desfase entre la tensin de red y la corriente de salida.


Como se puede ver en las figuras anteriores, la corriente est en fase con la tensin de
red y la potencia de salida cumple el concepto de balance energtico. Toda la potencia
de entrada es transferida a la salida, pues el modelo es ideal y, por tanto, las prdidas
son nulas.

= = =
= = = =
=
W
A V
i v P
W V A v i v i P
W P
L g out
dc PV PV PV in
mp
8 . 2110
2
57 . 13 1 . 311

4 . 2110 4 . 374 637 . 5


2112

Se han considerado en este clculo las tensiones instantneas en un determinado
instante de tiempo, siendo ms restrictivos que la ley de control establecida. Realmente,
el algoritmo de control asegura la transferencia de potencia en un periodo de red.

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
350
400
450
T
e
n
s
i

n

V
d
c
1
Tiempo [sec]
MULTIPLES MODULADORAS


Vdc1
1 1.01 1.02 1.03 1.04 1.05 1.06
365
370
375


X: 1.003
Y: 374.7
T
e
n
s
i

n

V
d
c
1
Tiempo [sec]
Vdc1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
350
400
450
T
e
n
s
i

n

V
d
c
1
Tiempo [sec]
PORTADORA MODIFICADA


Vdc1
1 1.01 1.02 1.03 1.04 1.05 1.06
365
370
375


X: 1.002
Y: 374.7
T
e
n
s
i

n

V
d
c
1
Tiempo [sec]
Vdc1


Figura 3.27. Tensin en el condensador de entrada (v
dc
).


Si calculamos el valor medio de la tensin v
dc
, se observa que converge al valor fijado
por la referencia de tensin V
dc
*
que para esta simulacin era de 370.6V, mientras que el
valor medio de la corriente i
pv
queda determinada por la curva del panel (5.7A).



Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-20
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
0
2
4
6
C
o
r
r
i
e
n
t
e

I
p
v
1
Tiempo [sec]
MULTIPLES MODULADORAS


Ipv1
1 1.01 1.02 1.03 1.04 1.05 1.06
5.6
5.7
5.8
5.9


X: 1.002
Y: 5.633 C
o
r
r
i
e
n
t
e

I
p
v
1
Tiempo [sec]
Ipv1
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
0
2
4
6
C
o
r
r
i
e
n
t
e

I
p
v
1
Tiempo [sec]
PORTADORA MODIFICADA


Ipv1
1 1.01 1.02 1.03 1.04 1.05 1.06
5.6
5.7
5.8
5.9


X: 1.003
Y: 5.632 C
o
r
r
i
e
n
t
e

I
p
v
1
Tiempo [sec]
Ipv1


Figura 3.28. Corriente entregada por el conjunto fotovoltaico (i
pv
).


Tambin se puede verificar el valor del factor de proporcionalidad K que relaciona la
tensin de red con la amplitud de la corriente inyectada.

0436 . 0
1 . 311
6 . 370 7 . 5 2 2
2 2
= = =
g
PV PV
A
v i
K

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-40
-20
0
20
40
C
o
r
r
i
e
n
t
e

I
L
r
e
f

e

I
L

Tiempo [sec]
MULTIPLES MODULADORAS


ILref
IL
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
0
0.05
0.1


X: 1.48
Y: 0.04362
V
a
l
o
r

d
e

K
Tiempo [sec]
K
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-40
-20
0
20
40
C
o
r
r
i
e
n
t
e

I
L
r
e
f

e

I
L

Tiempo [sec]
PORTADORA MODIFICADA


ILref
IL
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
0
0.05
0.1


V
a
l
o
r

d
e

K
Tiempo [sec]
X: 1.56
Y: 0.04362
K


Figura 3.29. Variable de escalado de la corriente (K).


0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-4
-2
0
2
4
E
r
r
o
r
Tiempo [sec]
MULTIPLES MODULADORAS


Error
1 1.01 1.02 1.03 1.04 1.05 1.06
-4
-2
0
2
4
E
r
r
o
r
Tiempo [sec]


Error
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-4
-2
0
2
4
E
r
r
o
r
Tiempo [sec]
PORTADORA MODIFICADA


Error
1 1.01 1.02 1.03 1.04 1.05 1.06
-4
-2
0
2
4
E
r
r
o
r
Tiempo [sec]


Error


Figura 3.30. Error entre la corriente de salida (i
L
) y la de referencia (i
Lref
).
Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-21
El error obtenido puede parecer grande ya que realizando un clculo rpido se observa
que est entre el 10 y el 15 %. Sin embargo, este error, es debido al rizado de
conmutacin amplificado por la accin proporcional. El error a frecuencia de 50 Hz
queda enmascarado y es de valor mucho menor gracias al efecto de la accin integral
resonante. Este es el principal motivo por el que se ha elegido un valor de K
p
pequeo
en comparacin con la ganancia K
i
, pues un incremento de K
p
implica un incremento del
ruido en el error.

Para poder comparar las diferentes modulaciones, es interesante representar el rizado de
la corriente de salida (i
L
), la tensin de salida del puente inversor (v
H
) y los
correspondientes espectros.

1 1.01 1.02 1.03 1.04 1.05 1.06
-20
-10
0
10
20
C
o
r
r
i
e
n
t
e

I
L
Tiempo [sec]
MULTIPLES MODULADORAS


IL
1.0251 1.0251 1.0251 1.0252 1.0252 1.0252 1.0252 1.0252 1.0253 1.0253 1.0253
12.5
13
13.5
14
14.5


X: 1.025
Y: 14.21
R
i
z
a
d
o

c
o
r
r
i
e
n
t
e

I
L
Tiempo [sec]
X: 1.025
Y: 12.9
IL
1 1.01 1.02 1.03 1.04 1.05 1.06
-20
-10
0
10
20
C
o
r
r
i
e
n
t
e

I
L
Tiempo [sec]
PORTADORA MODIFICADA


IL
1.0251 1.0251 1.0251 1.0252 1.0252 1.0252 1.0252 1.0252 1.0253 1.0253 1.0253
12
13
14
15


X: 1.025
Y: 14.74
R
i
z
a
d
o

c
o
r
r
i
e
n
t
e

I
L
Tiempo [sec]
X: 1.025
Y: 12.62
IL


Figura 3.31. Corriente de salida (i
L
) y rizado ( i
L
).


0 5 10 15
x 10
4
-140
-120
-100
-80
-60
-40
-20
0
20
40

X: 50.31
Y: 22.36
RESPUESTA FRECUENCIAL MULTIPLES MODULADORAS
P
o
t
e
n
c
i
a

[
d
B
]
Frecuencia [Hz]
X: 4.005e+004
Y: -7.419
X: 8.005e+004
Y: -23.79 X: 1.201e+005
Y: -31.45
IL
0 5 10 15
x 10
4
-140
-120
-100
-80
-60
-40
-20
0
20
40

X: 50.31
Y: 22.36
RESPUESTA FRECUENCIAL PORTADORA MODIFICADA
P
o
t
e
n
c
i
a

[
d
B
]
Frecuencia [Hz]
X: 2e+004
Y: -0.6915
X: 6e+004
Y: -21.17
X: 4.015e+004
Y: -29.13
IL


Figura 3.32. Espectro de la corriente de salida (i
L
).


El rizado de la corriente es triangular pero vara su frecuencia en funcin de la
modulacin. En el caso de mltiples moduladoras tiene una frecuencia de 40 kHz,
mientras que con la modulacin con portadora modificada es de 20 kHz.

10.3% del Rizado 31 . 1 9 . 12 21 . 14 ) mod. Mult. ( = = A i
L


15.5% del Rizado 12 . 2 62 . 12 74 . 14 modif.) Port. ( = = A i
L

Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-22
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-500
0
500
T
e
n
s
i

n

s
a
l
i
d
a

m
u
l
t
i
n
i
v
e
l
Tiempo [sec]
MULTIPLES MODULADORAS


VH
1 1.01 1.02 1.03 1.04 1.05 1.06
-400
-200
0
200
400
T
e
n
s
i

n

s
a
l
i
d
a

m
u
l
t
i
n
i
v
e
l

y

V
g
Tiempo [sec]


VH
Vg
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-500
0
500
T
e
n
s
i

n

s
a
l
i
d
a

m
u
l
t
i
n
i
v
e
l
Tiempo [sec]
PORTADORA MODIFICADA


VH
1 1.01 1.02 1.03 1.04 1.05 1.06
-400
-200
0
200
400
T
e
n
s
i

n

s
a
l
i
d
a

m
u
l
t
i
n
i
v
e
l

y

V
g
Tiempo [sec]


VH
Vg


Figura 3.33. Tensin a la salida del puente completo (v
H
).


0 5 10 15
x 10
4
-80
-60
-40
-20
0
20
40
60


X: 50.31
Y: 49.57
RESPUESTA FRECUENCIAL MULTIPLES MODULADORAS
P
o
t
e
n
c
i
a

[
d
B
]
Frecuencia [Hz]
X: 4.005e+004
Y: 40.06
X: 8.005e+004
Y: 29.42
X: 1.201e+005
Y: 24.82
VH
0 5 10 15
x 10
4
-80
-60
-40
-20
0
20
40
60


X: 50.31
Y: 49.57
RESPUESTA FRECUENCIAL PORTADORA MODIFICADA
P
o
t
e
n
c
i
a

[
d
B
]
Frecuencia [Hz]
X: 6e+004
Y: 29.7
X: 2e+004
Y: 40.82
X: 4.015e+004
Y: 18.37
VH


Figura 3.34. Espectro de la tensin multinivel (v
H
).


La tensin de salida v
H
tiene los niveles comprendidos entre v
dc
y un valor superior al
de v
g
para que se cumpla la condicin de inyeccin de corriente a la red.

El espectro presenta los mismos harmnicos que la corriente de salida (i
L
).

Teniendo en cuenta el resultado obtenido al evaluar los espectros de ambas
modulaciones, se puede comentar que, la modulacin por portador modificada es ms
compleja de filtrar, pues, los harmnicos estn ms prximos a la frecuencia de seal
til (50 Hz). No obstante, el nmero de conmutaciones de los elementos de control es
menor y por ello se reduce el estrs y las prdidas por conmutacin.










Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-23
3.2. Ejemplo de diseo de un Inversor Multinivel.


El inversor multinivel que se disea en este apartado se basa en la estructura presentada
en 2.13. La figura muestra una estructura de n etapas conectadas en cascada. En este
ejemplo se toma el valor de n = 3.

Teniendo en cuenta la agrupacin de paneles del punto 3.1, se plantea a continuacin la
divisin del conjunto entre las tres etapas. Se pretende mantener la potencia total de
entrada al mismo valor que en el inversor central, pero en este caso mediante tres
agrupaciones de paneles distintas. Esto permite que un grupo de paneles tenga
caractersticas elctricas o ambientales distintas a los otros y, sin embargo, no penalice
la potencia total.

Para realizar una aproximacin sencilla, se divide el conjunto en tres agrupaciones que
son capaces de entregar la misma corriente, pero la tensin v
PV
es menor.















Figura 3.35. Divisin del conjunto de paneles fotovoltaicos para el inversor multinivel.

Las curvas caractersticas de los nuevos conjuntos fotovoltaicos en funcin de la
irradiancia son:

0 50 100 150
0
1
2
3
4
5
6
7


X: 123.5
Y: 5.7
I
P
V

[
A
]
VPV [V]
X: 121.3
Y: 4.572
X: 117.9
Y: 2.841
1000W/m
2
800W/m
2
500W/m
2
0 50 100 150
0
100
200
300
400
500
600
700
800


X: 123.5
Y: 704.1
P
o
t
e
n
c
i
a

[
W
]
VPV [V]
X: 121.3
Y: 554.4
X: 117.9
Y: 334.9
1000W/m
2
800W/m
2
500W/m
2


Figura 3.36. Variacin del punto de mxima potencia en funcin de la irradiancia.

0 50 100 150 200 250 300 350 400 450
0
500
1000
1500
2000
2500


P
o
t
e
n
c
i
a

[
W
]
VPV [V]
X: 370.6
Y: 2112
1000W/m
2
& 27 C
3
0 50 100 150
0
100
200
300
400
500
600
700
800


P
o
t
e
n
c
i
a

[
W
]
VPV [V]
X: 123.5
Y: 704.1 1000W/m
2
& 27 C
Nueva agrupacin
de paneles
Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-24
Quedando la tabla de resumen de valores como:

Irradiancia [W/m
2
] T [K] V
oc
[V] I
sc
[A] V
mp
[V] I
mp
[A] P
mp
[W]
1000

300 147.3 6.1 123.5 5.7 704.1
800 300 146.2 4.88 121.3 4.53 554.4
500 300 141.7 3.05 117.9 2.84 334.9

Tabla 3.5. Resumen de los cambios en funcin de la irradiancia.

La potencia para cada irradiancia se corresponde con la de la tabla 3.2 si se divide esta
por tres.

Igual que en el caso anterior, la potencia inyectada a la red elctrica ser mxima si los
tres conjuntos fotovoltaicos tienen una iluminacin de 1000 W/m
2
. De lo contrario, cada
conjunto debe ser capaz de operar en el punto de mxima potencia de forma
independiente, con el fin de intentar aprovechar al mximo la energa disponible en la
entrada del inversor multinivel.

Una vez definidos los conjuntos fotovoltaicos a utilizar, se debe plantear el diseo del
los controladores.


3.2.1. Diseo del controlador del lazo externo.

En el diseo del controlador del lazo externo se deben considerar las tres etapas de
entrada y determinar en qu condiciones pueden estar trabajando cada una de ellas. Para
ello, es indispensable considerar las curvas de los conjuntos fotovoltaicos presentadas
anteriormente junto con la curva de la variable m.



















Figura 3.37. Condiciones de trabajo lmite.

v
dc2
i
PV2

PUENTE
ETAPA
2
v
dc1
i
PV1

PUENTE
ETAPA
1
L
v
g

v
H

v
dc3
i
PV3

PUENTE
ETAPA
3
Condiciones de trabajo lmite para
cada conjunto fotovoltaico:

v
HT
> A
g


v
HT
= u
1
v
dc1
+ u
2
v
dc2
+ u
3
v
dc3


v
HTmax
= v
dc1
+ v
dc2
+ v
dc3


La tensin mnima que debe
entregar cada conjunto es:

v
dc1min
= A
g
(V
oc2
+ V
oc3
)
v
dc2min
= A
g
(V
oc1
+ V
oc3
)
v
dc3min
= A
g
(V
oc1
+ V
oc2
)

Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-25
Se debe asegurar la estabilidad del sistema para todo el margen de funcionamiento
posible. El valor mnimo que se puede considerar en cada agrupacin de paneles se da
cuando los otros grupos estn trabajando en tensin de cortocircuito y la irradiancia
sobre el conjunto es mxima (1000 W/m
2
).

En el caso concreto que se plantea en este ejemplo, donde los tres conjuntos de paneles
son idnticos:

( ) V m W V A v
oc g dc
5 . 16 6 . 294 1 . 311 3 . 147 2 2 220 / 1000 2
2
min
= = = =

Sin embargo, esta condicin puede verse afectada por las ecuaciones de diseo del
controlador, especialmente por la que impone m < 1.














Figura 3.38. Definicin del margen de trabajo en funcin de m.

Una vez evaluada la variacin de m en funcin de la tensin v
PV
, se observa que la
tensin mnima disponible para que el controlador sea estable es de 27.71V en lugar de
los 16.5V. Esto no es un inconveniente para el diseo puesto que, cuanto mayor es la
suma de tensiones de las diferentes etapas, mejor se cumple la condicin de inyeccin a
red.

Seguidamente, se calculan los parmetros del controlador en funcin del margen
mximo de m.

Condicin 1
1 <
i
m
Condicin 2
1 <
i
; 0 <
i

Condicin 3
) 1 (
) 2 ( 4
2
i g g
i
i
T A
m

>
Condicin 4
g g i
i
i
T A
m

2
2

<

Tabla 3.6. Condiciones de diseo.

Se toma el valor de
i
= 0.875 para minimizar el efecto desestabilizador del integrador y
luego se calcula el valor de
i
para m
i
= 0.9 asegurando as la condicin de m
i
< 1.
0 50 100 150
-4
-3
-2
-1
0
1
2


X: 16.49
Y: 1.681
m

[
J
s
-
1
]
VPV [V]
X: 27.71
Y: 1.001
X: 147.3
Y: -3.235
1000W/m
2
& 27 C
Margen de
funcionamiento

1

=
z
z
G
i
i Ci

Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.



3-26
g g i
i
i
i g g
i
T A
m
T A
m

2
) 1 (
) 2 ( 4
2 2

< <
+



Los valores a tener en cuenta para el clculo de la inecuacin son:

V V A
g
12 . 311 2 220 = = ;
1
9 . 0

= s J m
i
; 875 . 0 =
i
; sec 02 . 0 =
g
T ;

Como resultado se obtiene:

001062 . 0 001212 . 0 < <
i
Valor intermedio: 00114 . 0 =
i


Si se traza el lugar geomtrico de las races en funcin del parmetro m para validar el
margen de estabilidad con el valor intermedio de obtenemos:

-1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1
-1
-0.8
-0.6
-0.4
-0.2
0
0.2
0.4
0.6
0.8
1
0.3/T
0.4/T
0.5/T
0.6/T
0.7/T
0.8/T
0.9/T
/T
0.1
0.2
0.3
0.4
0.5
0.6
0.7
0.8
0.9
0.1/T
0.2/T
0.3/T
0.4/T
0.5/T
0.6/T
0.7/T
0.8/T
0.9/T
/T
0.1/T
0.2/T
System: sys
Gain: 0.965
Pole: -0.979 + 0.159i
Damping: 0.0028
Overshoot (%): 99.1
Frequency (rad/sec): 149
System: sys
Gain: 0.9
Pole: -0.0176 + 0.586i
Damping: 0.316
Overshoot (%): 35.1
Frequency (rad/sec): 84.4
Root Locus
Real Axis
I
m
a
g
i
n
a
r
y

A
x
i
s


Figura 3.39. Lugar geomtrico de las races sobre el plano Z.

Observando la figura, la tensin mnima que se puede alcanzar en la entrada de las
etapas que forman el inversor multinivel es de 28.73V (m = 0.965) con una irradiancia
de 1000 W/m
2
.

Considerando que el sistema de control est pensado para trabajar siempre en el punto
de mxima potencia, quizs no tiene mucho sentido permitir un margen de estabilidad
para toda la curva. Sin embargo, durante los cambios bruscos de irradiancia, se
producen transitorios abruptos en la tensin, los cuales deben mantenerse dentro del
margen de estabilidad para que el controlador pueda volver a posicionar el punto de
trabajo en el valor adecuado.

En el caso general de tener agrupaciones de paneles con curvas distintas, se debe
considerar el margen de funcionamiento para cada una de ellas y disear el controlador
para el caso ms restrictivo.


Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-27
Resumen de los parmetros del controlador externo:


i
0.875

i
-0.00114
T
g
0.02
A
g 2 220

Tabla 3.7. Valor de los parmetros del lazo externo de control.



3.2.2. Diseo del controlador del lazo interno.

El controlador del lazo interno se puede mantener idntico al que se ha presentado en el
apartado 3.1.2 para el inversor central. Esto se puede entender fcilmente observando el
siguiente diagrama de bloques:












Figura 3.40. Diagrama de bloques simplificado del controlador interno.


Por tanto, las entradas siguen siendo las mismas que en el caso anterior (aunque
sumando previamente los trminos independientes de cada etapa) y la velocidad de
seguimiento entre la corriente y la referencia queda establecida por los mismos valores
de K
p
y K
i
.

=
=
50000
140
i
p
K
K
a

Para el diseo de este bloque de control, es indistinto el nmero de etapas que forman el
inversor multinivel.










Control PR
i
L

i
Lref
= Kv
g

d
Siendo:
K = K
1
+ K
2
+ K
3

v
dcT
= v
dc1
+ v
dc2
+ v
dc3

v
dcT

Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-28
3.2.3. Validacin del diseo mediante simulacin.

El diseo del inversor multinivel se ha evaluado mediante simulacin con
Matlab\simulink. Para ello se ha generado el siguiente modelo:

K1
K3
i p3
K2
vdc1
i L
vdc2
vdc3
U3
U2
U1
vg
d
i p1
K
i Lref
ip2
[vdc2]
vdc2
[K]
[K3]
k3
[K2]
k2
[K1]
k1
[i pv3]
[i pv2]
[i pv1]
Uti l ity gri d
300
Temperatura 3 [K]
300
Temperatura 2 [K]
300
Temperatura 1 [K]
K
K1
K2
K3
d
U1
U2
U3
Modulacin
iL
iLref
vdcT
d
Lazo Interno
vdc1
vdc1*
vdc2
vdc2*
vdc3
vdc3*
K1
K2
K3
Lazo Externo
1000
Irradianci a 3
1000
Irradi anci a 2
1000
Irradi anci a 1
ipv1
vg
u1
vdc1
iL
ipv2
u2
ipv3
u3
vdc2
vdc3
Inversor Multinivel 3 etapas
[i L]
[vdc2]
[K3]
[K2]
[K1]
[K]
[vdc3]
[vdc1]
[u3]
[u2]
[u1]
[i pv3] [i pv2]
Goto_i pv1
[i pv1]
[vg]
[vg]
[vg]
[u3]
[u2]
[u1]
[vdc2]
[vdc3] [vdc2] [vdc1]
[vdc1]
[vdc3]
[vdc1]
[vdc3]
[i L]
Irradi ance
T
vpv
ipv
Conj unto Panel es Sol ares 3
Irradi ance
T
vpv
i pv
Conj unto Panel es Sol ares 2
Irradi ance
T
vpv
i pv
Conj unto Panel es Sol ares 1


Figura 3.41. Fichero de simulacin para el inversor multinivel de tres etapas.

El fichero de simulacin realizado tiene los mismos bloques que el mostrado para el
caso del inversor central.

Conjunto Paneles Solares: El modelo para cada conjunto fotovoltaico es el mismo que
se muestra en la figura 3.18 pero dividiendo el nmero de series conectadas por tres.

Inversor Multinivel: Modelo matemtico del inversor multinivel formado por tres etapas
de potencia.
4
vdc3
3
vdc2
2
i L
1
vdc1
VH
1
s
ipv 3
iL
u3
v dc3
ipv 2
iL
u2
v dc2
ipv 1
IL
u1
v dc1
1/L
7
u3
6
ipv3
5
u2
4
ipv2
3
u1
2
vg
1
ipv1

Figura 3.42. Modelado del inversor multinivel de tres etapas.
Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-29
Lazo Externo: Controlador basado en el concepto de balance energtico. Se ampla el
diagrama de bloques presentado en el caso del inversor central aadiendo las etapas
necesarias.

0.5C3
0.5C3.
3
K3
2
K2
1
K1
ti me
ZOH 0.02 sec
[IC]
[IC]
[IC]
-K-
Gamma3
-K-
Gamma2
-K-
Gamma1
-K-
-K-
z-Al fa1
z-1
z-Al fa3
z-1
z-Al fa2
z-1
Cl ock
Add2
Add1
Add
-K-
0.5C2.
-K-
0.5C2
-K-
0.5C1.
-K-
0.5C1
6
vdc3*
5
vdc3
4
vdc2*
3
vdc2
2
vdc1*
1
vdc1


Figura 3.43. Modelado del lazo de control externo para tres etapas.

Lazo Interno: Bloque idntico al que se presenta en la figura 3.21. Los valores de las
ganancias proporcional e integral se mantienen.

Modulacin: Bloque modulador. Segn la modulacin se basar en el desplazamiento
en fase entre portadoras (PS-PWM) o bien en la disposicin entre ellas (PD-PWM).

3
U3
2
U2
1
U1
DK2
DK1
Port3
Port2
Port1
D_neg
D
DK3
-1
5
d
4
K3
3
K2
2
K1
1
K


Figura 3.44. Bloque modulador para PS-PWM.
Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-30

3
U3
2
U2
1
U1
D
Port_rot6
Port_rot5
Rot_val
Ramp
wk5
Port_rot4
Port_rot1
Port_rot3
Port6
Port5
Port4
Port3
Port2
Port1
Port_rot2
rampa
ti empos
Convert
conv
Zero-Order
Hol d
u
rot
u1
u2
u3
Rotaci n 2
u
rot
u1
u2
u3
Rotaci n 1
Rel ay2
<=
ROk2
<=
ROk1
Product5
Product2
Portadora 3#
Portadora 3
Portadora 2#
Portadora 2
Portadora 1#
Portadora 1
f(u)
Fcn
Add3
5
d
4
k3
3
k2
2
k1
1
KT

Figura 3.45. Bloque modulador para PD-PWM.


Los valores de los parmetros utilizados en el fichero de simulacin son:

Panel: Vara la curva segn la irradiancia seleccionada en cada caso.

Irradiancia [W/m
2
] T [K] V
oc
[V] I
sc
[A] V
mp
[V] I
mp
[A] P
mp
[W]
1000

300 147.3 6.1 123.5 5.7 704.1
800 300 146.2 4.88 121.3 4.53 554.4
500 300 141.7 3.05 117.9 2.84 334.9


Constantes de diseo:

( ) ( )
50000 ; 140 ; 2200
; 950 ; 02 . 0 ; 0114 0 ; 875 0 ; 50 2 sin 2 220 sin
= = =
= = = = = =
i p
g g g g
K K F C
H L seg T . - . t t A v




Modulacin:

PS-PWM: Tres portadoras triangulares de frecuencia 20 kHz y desfasadas 120 entre
ellas.
120
3
360 360
= = =
n
fase

PD-PWM: Tres portadoras triangulares complementarias con frecuencia de 20 kHz y un
ciclo de rotacin completo para cada 40 ciclos de portadora.
Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-31

0.02 0.02 0.02 0.02 0.02 0.0201 0.0201 0.0201 0.0201 0.0201
-1
-0.8
-0.6
-0.4
-0.2
0
0.2
0.4
0.6
0.8
1
Tiempo [sec]
P
o
r
t
a
d
o
r
a
s

P
S


Portadora 1
Portadora 2
Portadora 3
0.02 0.02 0.02 0.02 0.02 0.0201 0.0201 0.0201 0.0201 0.0201
-1
-0.8
-0.6
-0.4
-0.2
0
0.2
0.4
0.6
0.8
1
Tiempo [sec]
P
o
r
t
a
d
o
r
a
s

P
D


Portadora 1
Portadora 2
Portadora 3
Portadora 1#
Portadora 2#
Portadora 3#

(a) (b)

Figura 3.46. Portadora para (a) PS-PWM, (b) PD-PWM.

Un ejemplo de alternancia de portadoras para la modulacin PD-PWM con 40 ciclos de
portadora por rotacin completa es:




=
=
=
=
=
=
3
1
3
3
3
1
2
2
3
1
1
1

i
i
rot
i
i
rot
i
i
rot
K
K
T T
K
K
T T
K
K
T T






Figura 3.47. Rotacin de portadoras (PD-PWM).


La presentacin de los resultados se realiza siguiendo la siguiente estructura:






Simulacin con modulacin
PS-PWM
Simulacin con modulacin
PD-PWM
0.02 0.02020.02040.02060.0208 0.021 0.02120.02140.02160.0218 0.022
-1
-0.8
-0.6
-0.4
-0.2
0
0.2
0.4
0.6
0.8
1
Tiempo [sec]
R
o
t
a
c
i

n

p
o
r
t
a
d
o
r
a
s

P
D


Rot. port.1
Rot. port.2
Rot. port.3
Rot. port.1#
Rot. port.2#
Rot. port.3#
T
1
T
2
T
3
Secuencia 1 Secuencia Secuencia 3
T
rot
Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-32
3.2.3.1. Simulacin del inversor multinivel en estado estacionario.

El primer conjunto de simulaciones que se muestra a continuacin, sirve para validar el
arranque del inversor multinivel y el alcance del rgimen estacionario establecido. Las
simulaciones se realizan considerando que las tres etapas trabajan en el punto de
mxima potencia y que la irradiancia en todas ellas es de 1000 W/m
2
.

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-400
-200
0
200
400
Tiempo [sec]
T
e
n
s
i

n

r
e
d
Modulacin PS-PWM


Vg
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
-10
0
10
20
Tiempo [sec]
C
o
r
r
i
e
n
t
e

I
L


IL
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-400
-200
0
200
400
Tiempo [sec]
T
e
n
s
i

n

r
e
d
Modulacin PD-PWM


Vg
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
-10
0
10
20
Tiempo [sec]
C
o
r
r
i
e
n
t
e

I
L


IL


Figura 3.48. Tensin de red (v
g
) y corriente de salida (i
L
).

1 1.01 1.02 1.03 1.04 1.05 1.06
-40
-20
0
20
40


X: 1.025
Y: 31.1
T
e
n
s
i

n

r
e
d
/
1
0
;

C
o
r
r
i
e
n
t
e

I
L
Tiempo [sec]
Modulacin PS-PWM
X: 1.005
Y: 13.58
Vg/10
IL
1.018 1.019 1.02 1.021 1.022
-20
-10
0
10
20
T
e
n
s
i

n

r
e
d
/
1
0
;

C
o
r
r
i
e
n
t
e

I
L
Tiempo [sec]


Vg/10
IL
1.028 1.029 1.03 1.031 1.032
-20
-10
0
10
20
T
e
n
s
i

n

r
e
d
/
1
0
;

C
o
r
r
i
e
n
t
e

I
L
Tiempo [sec]


Vg/10
IL
1 1.01 1.02 1.03 1.04 1.05 1.06
-40
-20
0
20
40


X: 1.005
Y: 13.59
T
e
n
s
i

n

r
e
d
/
1
0
;

C
o
r
r
i
e
n
t
e

I
L
Tiempo [sec]
Modulacin PS-PWM
X: 1.025
Y: 31.11
Vg/10
IL
1.018 1.019 1.02 1.021 1.022
-20
-10
0
10
20
T
e
n
s
i

n

r
e
d
/
1
0
;

C
o
r
r
i
e
n
t
e

I
L
Tiempo [sec]


Vg/10
IL
1.028 1.029 1.03 1.031 1.032
-20
-10
0
10
20
T
e
n
s
i

n

r
e
d
/
1
0
;

C
o
r
r
i
e
n
t
e

I
L
Tiempo [sec]


Vg/10
IL


Figura 3.49. Desfase entre la tensin de red y la corriente de salida.


Toda la potencia entregada por los diversos conjuntos fotovoltaicos es transferida a la
salida a travs de la corriente i
L
que se mantiene en fase con la tensin de red. Igual que
en el caso del inversor central, se puede calcular la potencia de entrada y salida para
verificar el correcto funcionamiento del balance energtico.

= = =
= = = =

= = + + =

=
W
A V
i v P
W V A V I v i P
W P P P P
L g out
mp mp
i
PVi PVi inT
mp mp mp mpT
3 . 2112
2
58 . 13 1 . 311

2112 5 . 123 7 . 5 3 3 ) (

3 . 2112 1 . 704 3
3
1
3 2 1



Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-33
Las tensiones sobre los condensadores de entrada deben posicionarse todas al mismo
valor impuesto por los diferentes bloques MPPT.

MPPT
1,2,3
v
dc1,2,3
= V
mp1,2,3
= 123.5V

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
100
120
140
160
T
e
n
s
i
o
n
e
s

V
d
c
Tiempo [sec]
Modulacin PS-PWM


Vdc1
Vdc2
Vdc3
1 1.01 1.02 1.03 1.04 1.05 1.06
115
120
125
130


X: 1.003
Y: 127.6
T
e
n
s
i
o
n
e
s

V
d
c
Tiempo [sec]
X: 1.015
Y: 123.5
Vdc1
Vdc2
Vdc3
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
110
120
130
140
150
T
e
n
s
i
o
n
e
s

V
d
c
Tiempo [sec]
Modulacin PD-PWM


Vdc1
Vdc2
Vdc3
1 1.01 1.02 1.03 1.04 1.05 1.06
115
120
125
130


T
e
n
s
i
o
n
e
s

V
d
c
Tiempo [sec]
X: 1.002
Y: 127.7
X: 1.015
Y: 123.5
Vdc1
Vdc2
Vdc3


Figura 3.50. Tensin en los condensadores de entrada (v
dc1,2,3
).

La tensin de entrada presenta un rizado sinusoidal de frecuencia doble a la de la red
elctrica. En el caso de la modulacin PD, la forma sinusoidal queda ligeramente
deteriorada debido a la rotacin de portadoras.

El valor de las corrientes queda fijado a travs de la relacin V-I sobre la curva de
potencia del panel, siendo en este caso I
mp
= 5.7 para las tres etapas.

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
0
2
4
6
C
o
r
r
i
e
n
t
e
s

I
p
v
Tiempo [sec]
Modulacin PS-PWM


Ipv1
Ipv2
Ipv3
1 1.01 1.02 1.03 1.04 1.05 1.06
5.4
5.6
5.8
6


X: 1.002
Y: 5.464
C
o
r
r
i
e
n
t
e
s

I
p
v
Tiempo [sec]
X: 1.02
Y: 5.7
Ipv1
Ipv2
Ipv3
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
0
2
4
6
C
o
r
r
i
e
n
t
e
s

I
p
v
Tiempo [sec]
Modulacin PD-PWM


Ipv1
Ipv2
Ipv3
1 1.01 1.02 1.03 1.04 1.05 1.06
5.2
5.4
5.6
5.8
6


X: 1.002
Y: 5.464
C
o
r
r
i
e
n
t
e
s

I
p
v
Tiempo [sec]
X: 1.02
Y: 5.699
Ipv1
Ipv2
Ipv3


Figura 3.51. Corriente entregada por cada conjunto fotovoltaico (i
pv1,2,3
).


El valor del factor de proporcionalidad K se puede como:

0436 . 0
1 . 311
2112 2 2
2 2
= = =
g
inT
A
P
K




Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-34
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
-10
0
10
20
C
o
r
r
i
e
n
t
e

I
L
r
e
f

e

I
L

Tiempo [sec]
Modulacin PS-PWM


ILref
IL
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
0
0.02
0.04
0.06


X: 1.58
Y: 0.04345
V
a
l
o
r

d
e

K
Tiempo [sec]
K
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-20
-10
0
10
20
C
o
r
r
i
e
n
t
e

I
L
r
e
f

e

I
L

Tiempo [sec]
Modulacin PD-PWM


ILref
IL
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
0
0.02
0.04
0.06


X: 1.2
Y: 0.04344
V
a
l
o
r

d
e

K
Tiempo [sec]
K


Figura 3.52. Variable de escalado de la corriente (K).


Esta variable est formada por la suma de las Ks individuales de cada etapa, las cuales
cumplen:

3 2 1
K K K K + + =

Sin embargo, la dinmica de estas variables no est fijada por el sistema de control,
quedando libre el valor al que convergen y habiendo de cumplir solamente la condicin
anterior. Segn la modulacin aplicada, cada K
n
toma el valor necesario para que la
suma permita cumplir la condicin de balance energtico entre la entrada y la salida, al
mismo tiempo que se posiciona cada tensin de entrada a la indicada por el MPPT.

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
0
0.005
0.01
0.015
0.02
0.025
0.03
0.035
0.04
0.045
0.05


X: 1.24
Y: 0.04345
E
v
o
l
u
c
i

n

d
e

l
a
s

K
Tiempo [sec]
Modulacin PS-PWM
X: 1.48
Y: 0.0144
K
K1
K2
K3
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
0
0.005
0.01
0.015
0.02
0.025
0.03
0.035
0.04
0.045
0.05


X: 1.18
Y: 0.04344
E
v
o
l
u
c
i

n

d
e

l
a
s

K
Tiempo [sec]
Modulacin PD-PWM
X: 1.5
Y: 0.01528
X: 1.48
Y: 0.01409
K
K1
K2
K3


Figura 3.53. Evolucin de las Ks de salida de cada lazo de control externo.


Cada factor K
n
se utiliza para ponderar el ciclo de trabajo (PS) o bien para asignar el
intervalo temporal (PD). En las siguientes figuras se muestran las secuencias obtenidas
para esta condicin de trabajo.






Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-35
1 1.01 1.02 1.03 1.04 1.05 1.06
-2
0
2
d
Tiempo [sec]
Modulacin PS-PWM


d
1 1.01 1.02 1.03 1.04 1.05 1.06
-1
0
1
d

K
1
Tiempo [sec]


dK1
1 1.01 1.02 1.03 1.04 1.05 1.06
-1
0
1
d

K
2
Tiempo [sec]


dK2
1 1.01 1.02 1.03 1.04 1.05 1.06
-1
0
1
d

K
3
Tiempo [sec]


dK3
1 1.002 1.004 1.006 1.008 1.01 1.012 1.014 1.016 1.018 1.02
-1
0
1
P
o
r
t
.

r
o
t
.

1

y

1
#
Tiempo [sec]


Rot. 3
Rot. 3#
1 1.002 1.004 1.006 1.008 1.01 1.012 1.014 1.016 1.018 1.02
-1
0
1
P
o
r
t
.

r
o
t
.

2

y

2
#
Tiempo [sec]


Rot. 3
Rot. 3#
1 1.002 1.004 1.006 1.008 1.01 1.012 1.014 1.016 1.018 1.02
-1
0
1
P
o
r
t
.

r
o
t
.

3

y

3
#
Tiempo [sec]


Rot. 3
Rot. 3#

Figura 3.54. Ciclos de trabajo (PS) y asignacin temporal de portadoras (PD).

El ciclo de trabajo d, para el caso de la modulacin PS con tres etapas, alcanza un valor
mximo comprendido entre [-3, 3], pues, son los ciclos de trabajo individuales los que
alcanzan el valor de [-1, 1] quedando acotados por cada portadora.

En la modulacin PD, el ciclo de trabajo queda confinado entre [-1, 1].


Seguidamente se evala el error entre la corriente inyectada a la red y la de referencia:

0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-2
0
2
4
E
r
r
o
r
Tiempo [sec]
Modulacin PS-PWM


Error
1 1.01 1.02 1.03 1.04 1.05 1.06
-1
-0.5
0
0.5
1
E
r
r
o
r
Tiempo [sec]


Error
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-1
0
1
2
E
r
r
o
r
Tiempo [sec]
Modulacin PD-PWM


Error
1 1.01 1.02 1.03 1.04 1.05 1.06
-1
-0.5
0
0.5
1
E
r
r
o
r
Tiempo [sec]


Error


Figura 3.55. Error entre la corriente de salida (i
L
) y la de referencia (i
Lref
).

El error obtenido con el inversor multinivel sigue estando producido por el ruido de
conmutacin. Tiene un valor menor que cuando se analiza el inversor central, pues, la
accin multinivel reduce el rizado sobre la corriente i
L
.

Con las figuras anteriores, la variacin de la modulacin aplicada no se aprecia a simple
vista. Para poder evaluar las ventajas que presenta una modulacin frente a la otra, es
necesario estudiar el rizado sobre la corriente i
L
y la tensin multinivel v
HT
.

Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-36
1 1.01 1.02 1.03 1.04 1.05 1.06
-20
-10
0
10
20
C
o
r
r
i
e
n
t
e

I
L
Tiempo [sec]
Modulacin PS-PWM


IL
1.0251 1.0251 1.0251 1.0252 1.0252 1.0252 1.0252 1.0252 1.0253 1.0253 1.0253
13.2
13.4
13.6
13.8


X: 1.025
Y: 13.8
R
i
z
a
d
o

c
o
r
r
i
e
n
t
e

I
L
Tiempo [sec]
X: 1.025
Y: 13.53
IL
1 1.01 1.02 1.03 1.04 1.05 1.06
-20
-10
0
10
20
C
o
r
r
i
e
n
t
e

I
L
Tiempo [sec]
Modulacin PD-PWM


IL
1.0251 1.0251 1.0251 1.0252 1.0252 1.0252 1.0252 1.0252 1.0253 1.0253 1.0253
13
13.5
14
14.5


X: 1.025
Y: 13.99
R
i
z
a
d
o

c
o
r
r
i
e
n
t
e

I
L
Tiempo [sec]
X: 1.025
Y: 13.01
IL


Figura 3.56. Corriente de salida (i
L
) y rizado ( i
L
).

0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
x 10
5
-160
-140
-120
-100
-80
-60
-40
-20
0
20
40


X: 50.31
Y: 23.68
RESPUESTA FRECUENCIAL PS-PWM
P
o
t
e
n
c
i
a

[
d
B
]
Frecuencia [Hz]
X: 1.197e+005
Y: -28.02
X: 2.394e+005
Y: -40.46 X: 3.611e+005
Y: -47.78
IL
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
x 10
5
-160
-140
-120
-100
-80
-60
-40
-20
0
20
40


X: 50.31
Y: 23.67
RESPUESTA FRECUENCIAL PD-PWM
P
o
t
e
n
c
i
a

[
d
B
]
Frecuencia [Hz]
X: 2e+004
Y: -9.113
X: 6e+004
Y: -28.84
X: 1e+005
Y: -37.83
IL


Figura 3.57. Espectro de la corriente de salida (i
L
).

El rizado de la corriente es triangular y de diferente frecuencia segn la modulacin
aplicada. Con PS se consigue un rizado de frecuencia 120 kHz que es exactamente tres
veces la frecuencia de la portadora, pues las tres etapas del multinivel estn conmutando
durante todo el tiempo. En PD, el rizado se mantiene a 20 kHz ya que las etapas entran
en conmutacin de forma alternada y mientras una conmuta las otras dos se mantienen
fijas, ya sea en corte o en conduccin.

2% del Rizado 27 . 0 53 . 13 8 . 13 ) ( = = A PWM PS i
L


7.2% del Rizado 98 . 0 01 . 13 99 . 13 ) ( = = A PWM PD i
L



Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-37
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-500
0
500
T
e
n
s
i

n

s
a
l
i
d
a

m
u
l
t
i
n
i
v
e
l
Tiempo [sec]
Modulacin PS-PWM


VH
1 1.01 1.02 1.03 1.04 1.05 1.06
-400
-200
0
200
400
T
e
n
s
i

n

s
a
l
i
d
a

m
u
l
t
i
n
i
v
e
l

y

V
g
Tiempo [sec]


VH
Vg
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
-500
0
500
T
e
n
s
i

n

s
a
l
i
d
a

m
u
l
t
i
n
i
v
e
l
Tiempo [sec]
Modulacin PD-PWM


VH
1 1.01 1.02 1.03 1.04 1.05 1.06
-400
-200
0
200
400
T
e
n
s
i

n

s
a
l
i
d
a

m
u
l
t
i
n
i
v
e
l

y

V
g
Tiempo [sec]


VH
Vg

Figura 3.58. Tensin multinivel (v
HT
).

0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
x 10
5
-100
-80
-60
-40
-20
0
20
40
60


X: 50.31
Y: 51.45
RESPUESTA FRECUENCIAL PS-PWM
P
o
t
e
n
c
i
a

[
d
B
]
Frecuencia [Hz]
X: 1.197e+005
Y: 28.85 X: 2.394e+005
Y: 21.81 X: 3.59e+005
Y: 16.43
VH
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
x 10
5
-100
-80
-60
-40
-20
0
20
40
60


X: 50.31
Y: 51.45
RESPUESTA FRECUENCIAL PD-PWM
P
o
t
e
n
c
i
a

[
d
B
]
Frecuencia [Hz]
X: 2e+004
Y: 32.42
X: 6e+004
Y: 22.19
X: 1e+005
Y: 17.54
VH


Figura 3.59. Espectro de la tensin (v
HT
).

La tensin de salida v
HT
muestra los diferentes niveles comprendidos entre v
dc
y la de
cada uno de ellos para conseguir un valor superior al de v
g
cumpliendo la condicin de
inyeccin de corriente a la red.

Del estudio en estado estacionario, solamente quedan por observar los intervalos de
conmutacin de las diferentes etapas. Mientras que con la modulacin PS las tres etapas
estn conmutando constantemente, la modulacin PD permite tener intervalos de no
conmutacin. De este modo, las prdidas de potencia por conmutacin quedan
reducidas y se puede llegar a conseguir un rendimiento mejor.

1 1.005 1.01 1.015 1.02 1.025 1.03 1.035 1.04
-1
0
1
U
1
Tiempo [sec]
Modulacin PS-PWM


U1
1 1.005 1.01 1.015 1.02 1.025 1.03 1.035 1.04
-1
0
1
U
2
Tiempo [sec]


U2
1 1.005 1.01 1.015 1.02 1.025 1.03 1.035 1.04
-1
0
1
U
3
Tiempo [sec]


U3
1 1.005 1.01 1.015 1.02 1.025 1.03 1.035 1.04
-1
0
1
U
1
Tiempo [sec]
Modulacin PD-PWM


U1
1 1.005 1.01 1.015 1.02 1.025 1.03 1.035 1.04
-1
0
1
U
2
Tiempo [sec]


U2
1 1.005 1.01 1.015 1.02 1.025 1.03 1.035 1.04
-1
0
1
U
3
Tiempo [sec]


U3

Figura 3.60. Acciones de control para cada etapa.
Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-38
3.2.3.2. Simulacin del inversor multinivel en rgimen transitorio.

Cuando se produce algn cambio (ambiental, elctrico, ) sobre las agrupaciones de
paneles, el sistema de control debe ser capaz de volver a posicionar el inversor
multinivel al rgimen estacionario pertinente. A continuacin se muestran algunas
situaciones que pueden darse en un sistema real.


3.2.3.2.1. Transitorios debidos a cambios de irradiancia.

A partir de una situacin inicial donde todos los conjuntos de paneles fotovoltaicos se
encuentran en la misma condicin ambiental, se simula un cambio de irradiancia tipo
escaln.













Los valores de simulacin utilizados son:

( ) ( )
V V V V V V K K F C
H L T . - . t t A v
dc dc dc i p
g g g g
5 . 123 ; 5 . 123 ; 5 . 123 ; 50000 ; 140 ; 2200
; 950 sec; 02 . 0 ; 0114 0 ; 875 0 ; 50 2 sin 2 220 sin
*
3
*
2
*
1
= = = = = =
= = = = = =



La simulacin se realiza con ambas modulaciones para poder observar el
comportamiento de cada una.
















1000 W/m
2
1000 W/m
2
1000 W/m
2
1

3

2

500 W/m
2
1000 W/m
2
1000 W/m
2
1

3

2

>
< <

. 4
. 2 0
seg t
seg t
t
. 4 . 2 seg t seg
Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-39




























Figura 3.61. Efecto del cambio de irradiancia sobre la corriente i
L
y sobre las tensiones v
dc1,2,3
.

El cambio de la irradiancia en uno de los conjuntos fotovoltaicos, hace que el valor
nominal de corriente inyectada a la red decrezca y se estabilice en el nuevo valor de
mxima potencia.

Aunque se vara la irradiancia, las tensiones de referencia se mantienen constantes. Por
este motivo, las tensiones de entrada regresan al valor en rgimen estacionario anterior,
observndose solamente el transitorio de cambio entre 1000 y 500 W/m
2
.

Tambin se puede observar en la figura que el rizado de entrada disminuye en funcin
de la energa entregada, vindose afectada cada etapa por independiente.

El transitorio que se produce en la tensin sobre el condensador de entrada (v
dc3
) es
debido al cambio brusco de la potencia entregada por el conjunto fotovoltaico asociado
a esta etapa. Como el sistema de control del lazo externo se actualiza a cada periodo de
red, hay un intervalo de tiempo en el que la accin de control asignada a la etapa 3 es la
correspondiente a una potencia de entrada mayor. Esto hace que el condensador se
descargue. Al siguiente ciclo de red, el control observa el estado de la energa en C
3
y
aplica la accin de control necesaria para volver al rgimen estacionario deseado.


0 1 2 3 4 5 6
-20
-10
0
10
20
Tiempo [seg]
C
o
r
r
i
e
n
t
e

I
L
Modulacin PS-PWM


IL
0 1 2 3 4 5 6
80
100
120
140
160
T
e
n
s
i
o
n
e
s

V
d
c
Tiempo [seg]


Vdc1
Vdc2
Vdc3
1.9 1.95 2 2.05 2.1 2.15 2.2 2.25 2.3 2.35 2.4
-20
-10
0
10
20
Tiempo [seg]
C
o
r
r
i
e
n
t
e

I
L
Modulacin PS-PWM


IL
1.9 1.95 2 2.05 2.1 2.15 2.2 2.25 2.3 2.35 2.4
90
100
110
120
130
T
e
n
s
i
o
n
e
s

V
d
c
Tiempo [seg]


Vdc1
Vdc2
Vdc3
3.9 3.95 4 4.05 4.1 4.15 4.2 4.25 4.3 4.35 4.4
-20
-10
0
10
20
Tiempo [seg]
C
o
r
r
i
e
n
t
e

I
L
Modulacin PS-PWM


IL
3.9 3.95 4 4.05 4.1 4.15 4.2 4.25 4.3 4.35 4.4
110
120
130
140
150
160
T
e
n
s
i
o
n
e
s

V
d
c
Tiempo [seg]


Vdc1
Vdc2
Vdc3
Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-40





















Figura 3.62. Evolucin de los factores de escalado y de los ciclos de trabajo individuales.

La disminucin de la potencia de entrada en la etapa 3 se ve reflejada en el ciclo de
trabajo asociado a esta etapa (d
3
) el cual, disminuye de amplitud modificando la accin
de control que se aplica para hacer conmutar los Mosfets.

Cuando la potencia de entrada vuelve a su valor inicial (1000 W/m
2
), el ciclo de trabajo
recupera su amplitud.





















0 1 2 3 4 5 6
0
0.05
E
v
o
l
u
c
i

n

d
e

l
a
s

K
Tiempo [seg]


K
K1
K2
K3
0.98 0.99 1 1.01 1.02
-2
0
2
d

K
1
0.98 0.99 1 1.01 1.02
-2
0
2
d

K
2
0.98 0.99 1 1.01 1.02
-2
0
2
d

K
3
Tiempo [seg]
2.98 2.99 3 3.01 3.02
-2
0
2
2.98 2.99 3 3.01 3.02
-2
0
2
2.98 2.99 3 3.01 3.02
-2
0
2
Tiempo [seg]
4.99 5 5.01 5.02
-2
0
2
4.99 5 5.01 5.02
-2
0
2
4.99 5 5.01 5.02
-2
0
2
Tiempo [seg]
Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-41




























Figura 3.63. Efecto del cambio de irradiancia sobre la corriente i
L
y sobre las tensiones v
dc1,2,3
.

La transicin en el valor de la irradiancia cuando se est utilizando modulacin PD,
provoca una fluctuacin mayor de la tensin de entrada de la etapa. Como se puede
observar en las figuras, la descarga del condensador C
3
durante el transitorio es de valor
mayor que el observado en la figura 3.61. El motivo es el mismo que se ha detallado en
el caso de modulacin PS, pero adems hay que aadir la dinmica de la rotacin.

Las tensiones de entrada de las diferentes etapas se ven afectadas por los transitorios
que se producen en las otras producindose una fluctuacin global de las variables de
estado. No obstante, la accin de control permite alcanzar un rgimen estacionario
independiente para cada etapa.










0 1 2 3 4 5 6
-20
-10
0
10
20
Tiempo [seg]
C
o
r
r
i
e
n
t
e

I
L
Modulacin PD-PWM


IL
0 1 2 3 4 5 6
60
80
100
120
140
160
T
e
n
s
i
o
n
e
s

V
d
c
Tiempo [seg]


Vdc1
Vdc2
Vdc3
3.9 4 4.1 4.2 4.3 4.4 4.5
-20
-10
0
10
20
Tiempo [seg]
C
o
r
r
i
e
n
t
e

I
L
Modulacin PD-PWM


IL
3.9 4 4.1 4.2 4.3 4.4 4.5
100
120
140
160
T
e
n
s
i
o
n
e
s

V
d
c
Tiempo [seg]


Vdc1
Vdc2
Vdc3
1.9 2 2.1 2.2 2.3 2.4 2.5
-20
-10
0
10
20
Tiempo [seg]
C
o
r
r
i
e
n
t
e

I
L
Modulacin PD-PWM


IL
1.9 2 2.1 2.2 2.3 2.4 2.5
60
80
100
120
140
T
e
n
s
i
o
n
e
s

V
d
c
Tiempo [seg]


Vdc1
Vdc2
Vdc3
Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-42





















Figura 3.64. Evolucin de los factores de escalado y de los ciclos de trabajo individuales.

En la figura 3.64 se observa la asignacin de tiempos en las portadoras. Cuando las tres
etapas operan en la misma condicin, los tiempos se reparten por igual, es decir, las tres
etapas tienen asignados el mismo nmero de ciclos de portadora.

Cuando se produce una variacin en alguna de las etapas, el sistema de control reasigna
los ciclos de portadora para conseguir que las variables de estado converjan al punto de
trabajo prefijado.




















0 1 2 3 4 5 6
-0.02
0
0.02
0.04
E
v
o
l
u
c
i

n

d
e

l
a
s

K
Tiempo [seg]


K
K1
K2
K3
1 1.005 1.01 1.015 1.02
0
0.5
1
R
o
t
.

P
o
r
t
.

1
1 1.005 1.01 1.015 1.02
0
0.5
1
R
o
t
.

P
o
r
t
.

2
1 1.005 1.01 1.015 1.02
0
0.5
1
R
o
t
.

P
o
r
t
.

3
Tiempo [seg]
3 3.005 3.01 3.015 3.02
0
0.5
1
3 3.005 3.01 3.015 3.02
0
0.5
1
3 3.005 3.01 3.015 3.02
-1
0
1
Tiempo [seg]
5 5.005 5.01 5.015 5.02
0
0.5
1
5 5.005 5.01 5.015 5.02
0
0.5
1
5 5.005 5.01 5.015 5.02
0
0.5
1
Tiempo [seg]
Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-43
3.2.3.2.2. Seguimiento del algoritmo MPPT.

En este caso, se simula el seguimiento de la salida del MPPT empezando desde la
tensin de corto circuito y llegando al punto de mxima potencia. La referencia
entregada por el algoritmo MPPT se modifica a intervalos de un segundo siguiendo la
siguiente secuencia:







0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
120
130
140
150


X: 0.5106
Y: 143.5
Tiempo [seg]
T
e
n
s
i

n

V
d
c
1
*
Emulacin MPPT
X: 1.504
Y: 138.5 X: 2.511
Y: 133.5 X: 3.504
Y: 128.5
X: 4.511
Y: 123.5
Vdc1*
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
120
130
140
150


X: 0.5106
Y: 143.5
Tiempo [seg]
T
e
n
s
i

n

V
d
c
2
*
X: 1.504
Y: 138.5 X: 2.511
Y: 133.5 X: 3.511
Y: 128.5
X: 4.511
Y: 123.5
Vdc2*
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
120
130
140
150


X: 0.5106
Y: 143.5
Tiempo [seg]
T
e
n
s
i

n

V
d
c
3
*
X: 1.504
Y: 138.5 X: 2.511
Y: 133.5 X: 3.511
Y: 128.5
X: 4.511
Y: 123.5
Vdc3*

Figura 3.65. Salida del algoritmo MPPT.

0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
-20
-10
0
10
20
Tiempo [seg]
C
o
r
r
i
e
n
t
e

I
L
Modulacin PS-PWM


IL
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
110
120
130
140
150
160
T
e
n
s
i
o
n
e
s

V
d
c
Tiempo [seg]


Vdc1
Vdc2
Vdc3


Figura 3.66. Seguimiento del algoritmo MPPT con modulacin PS.
1000 W/m
2
1000 W/m
2
1000 W/m
2
1

3

2

Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-44
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
-20
-10
0
10
20
Tiempo [seg]
C
o
r
r
i
e
n
t
e

I
L
Modulacin PD-PWM


IL
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
110
120
130
140
150
T
e
n
s
i
o
n
e
s

V
d
c
Tiempo [seg]


Vdc1
Vdc2
Vdc3


Figura 3.67. Seguimiento del algoritmo MPPT con modulacin PD.


El seguimiento de la seal de referencia proporcionada por el MPPT se realiza
correctamente tanto si se utiliza modulacin PS como modulacin PD.

La corriente de salida (i
L
) va incrementando de valor a medida que las tensiones de
entrada van aproximndose al punto de trabajo de mxima potencia.



























Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-45
Para cerrar las simulaciones de transitorios, se fijan diferentes irradiancias para cada
conjunto de paneles y se emula la accin MPPT para posicionar cada etapa en su punto
de mxima potencia.







0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
120
130
140
150


X: 0.5035
Y: 143.5
Tiempo [seg]
T
e
n
s
i

n

V
d
c
1
*
Emulacin MPPT
X: 1.518
Y: 138.5
X: 2.504
Y: 133.5 X: 3.511
Y: 128.5 X: 4.511
Y: 123.5
Vdc1*
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
120
130
140
150


Tiempo [seg]
T
e
n
s
i

n

V
d
c
2
*
X: 4.496
Y: 121.3
X: 3.511
Y: 126.3
X: 2.504
Y: 131.3
X: 1.496
Y: 136.3
X: 0.5035
Y: 141.3
Vdc2*
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
110
120
130
140


X: 0.5106
Y: 137.9
Tiempo [seg]
T
e
n
s
i

n

V
d
c
3
*
X: 1.511
Y: 132.9
X: 2.489
Y: 127.9
X: 3.518
Y: 122.9 X: 4.511
Y: 117.9
Vdc3*


Figura 3.68. Salida del algoritmo MPPT.

0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
-20
-10
0
10
20
Tiempo [seg]
C
o
r
r
i
e
n
t
e

I
L
Modulacin PS-PWM


IL
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
110
120
130
140
150
160
T
e
n
s
i
o
n
e
s

V
d
c
Tiempo [seg]


Vdc1
Vdc2
Vdc3


Figura 3.69. Seguimiento del algoritmo MPPT con modulacin PS.

500 W/m
2
800 W/m
2
1000 W/m
2
1

3

2

Captulo 3 Ejemplo de Diseo y Simulacin de un Inversor Central y de un Inversor Multinivel.

3-46
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
-20
-10
0
10
20
Tiempo [seg]
C
o
r
r
i
e
n
t
e

I
L
Modulacin PD-PWM


IL
0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5
110
120
130
140
150
T
e
n
s
i
o
n
e
s

V
d
c
Tiempo [seg]


Vdc1
Vdc2
Vdc3


Figura 3.70. Seguimiento del algoritmo MPPT con modulacin PD.

Observando las figuras 3.69 y 3.70, se verifica que el algoritmo de control permite
posicionar cada etapa de entrada en el punto de trabajo de mxima potencia. El
seguimiento de la tensin de referencia se realiza de forma independiente entre etapas.

El valor de corriente de salida es mximo cuando las tres etapas operan en sus
respectivos puntos de mxima potencia.

La evolucin de las tensiones de entrada de cada etapa y de la corriente de salida es
prcticamente igual en ambas modulaciones (PS y PD).





Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-1
CAPTULO 4












Implementacin del Inversor Multinivel y del
Sistema de Control.
















Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-2

































Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-3
Resumen

Este captulo describe la implementacin del inversor multinivel que se ha diseado en
el laboratorio. Para ello, se muestran los diferentes diagramas de bloques que permiten
describir la estructura y su funcionamiento.

Las ecuaciones de diseo y modulaciones presentadas en los captulos anteriores, se
desarrollan en forma circuitos electrnicos y bloques de cdigo integrados en una
FPGA (Field Programmable Gate Array), consiguiendo realizar cada una de las
diferentes funciones de control.

Para construir el prototipo se deben tener en cuenta tanto los aspectos tericos, como
las caractersticas de los equipos disponibles en el laboratorio. En las siguientes
pginas se describe la interconexin entre todos ellos, como se controlan y que
aspectos se deben tener en cuenta para que el sistema inversor se pueda conectar a la
red elctrica.

La estructura multinivel se realiza de modo que, posteriormente, pueda trabajar con
una (inversor central), dos o tres etapas de entrada sin que ello comporte grandes
cambios en el hardware. Este aspecto es importante, pues en el siguiente captulo,
permitir poder evaluar cmo se modifican las formas de onda en funcin del nmero
de etapas.



























Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-4
4.1. Diagrama de bloques del inversor multinivel.


A continuacin se representa el diagrama de bloques elctrico del inversor multinivel
que se ha implementado en el laboratorio para validar la teora de control descrita en los
captulos anteriores.














































Figura 4.1. Inversor multinivel y sistema de control.
C
2200F
VDC1
VDC2
VDC3
Rel
S1a S3a
S2a S4a
Emulador
Panel Solar
E4350B#J2
C
2200F
S1b S3b
S2b S4b
Sensor voltaje
LEM LV 25
Emulador
Panel Solar
E4350B#J2
C
2200F
S1c S3c
S2c S4c
Sensor voltaje
LEM LV 25
Sensor voltaje
LEM LV 25
Emulador
Panel Solar
E4350B
L
950H Sensor corriente
LEM LTS 15
VDC3
ADCS7476
AD9225
AD9225
Opto acoplador
A253N
S2a
S1a S3a
S4a
Driver
IR 21084
Driver
IR 21084
F
P
G
A
Opto acoplador
A253N
S1b S2b S3b S4b
Driver
IR 21084
Driver
IR 21084
F
P
G
A
S1c S2c S3c S4c
Driver
IR 21084
Driver
IR 21084
Opto acoplador
A253N
F
P
G
A
FPGA SPARTAN 3 XILINX
Sensor voltaje
LEM LV 25
Detector
ciclo de red
AD 9225
VDC2
VDC1
Rel
AD 7945



12 Bit
Entrada digital



IL - ILREF
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-5
El diseo presentado, permite modificar el nmero de etapas del inversor multinivel
mediante la activacin / desactivacin de las correspondientes salidas de la FPGA.


4.2. Descripcin hardware del sistema.

Seguidamente se comenta cada uno de los bloques y equipos que forman la estructura
inversora y se razonan los motivos por los cuales se han tomado determinadas
decisiones de diseo.


4.2.1. Simulador de panel fotovoltaico e interfaz de control.

Al no disponer en el laboratorio de un conjunto de paneles fotovoltaicos real, hace que
se deba recurrir a la utilizacin de los emuladores Solar Array Simulator de Agilent.
En concreto se han utilizado tres modelos distintos: E 4350B, E 4350B # J02 y E4362A.

Las caractersticas tcnicas de estos emuladores se reflejan en la grfica siguiente:













Figura 4.2. Caractersticas tcnicas de los simuladores fotovoltaicos.

La tensin mxima que se puede entregar a la entrada de cada etapa queda en funcin
del emulador que se le conecta y por tanto la distribucin ser:

Etapa 1 E4350B V
oc. max.
= 65V; I
sc max.
= 8A; P
mp max.
= 480W.
Etapa 2 E4350B #J02 V
oc. max.
= 86.6V; I
sc max.
= 6A; P
mp max.
= 480W.
Etapa 3 E4362A V
oc. max.
= 130V; I
sc max.
= 5A; P
mp max.
= 600W.

Teniendo en cuenta que el sistema inversor tiene una topologa reductora y que la suma
de tensiones de entrada no supera los 311.1V, no se puede conectar directamente la
salida del sistema a la red. Por este motivo se incluye un transformador elevador de baja
frecuencia a la salida del ondulador con el fin de garantizar la inyeccin de corriente.

La relacin de transformacin del transformador es:

- Primario: ) sin( 2 33 ) sin( t t A V
g g g g
= = donde . / 50 2 2 seg rad f
g g
= =
- Secundario: ) sin( 2 220 ) sin(
2
t t A
g g g
= donde . / 50 2 2 seg rad f
g g
= =
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-6
La tensin de red a considerar en el diseo ser la de primario.

El control de los simuladores se puede realizar de forma manual para fijar una potencia
constante de salida o bien a travs de GPIB si se pretenden utilizar como simuladores
fotovoltaicos y definir las curvas del panel. Para ello se parta de un entorno Labview
8.0 que permita la asignacin de diferentes curvas de irradiancia. No obstante, se ha
considerado oportuno en este proyecto la modificacin del entorno a nivel software,
dejando de utilizar el programa Labview y generando un panel de control de usuario
mediante Microsoft Excel y Visual Basic.

Esta modificacin conlleva algunas ventajas puesto que permite utilizar la aplicacin en
cualquier ordenador y sistema operativo, sin tener que comprar programas de control
especficos e instalarlos en cada equipo de trabajo. Para hacerse una idea, una licencia
de Labview a nivel de empresa puede costar alrededor de 1000 ms un mantenimiento
anual de 300 . Utilizando Excel y Visual Basic el coste sale gratuito, puesto que el
paquete de Microsoft Office viene instalado de serie en casi todos los ordenadores.

Otra ventaja que nos ofrece la utilizacin de Excel es la posibilidad de realizar clculos
y grficos estadsticos de las capturas realizadas en los equipos de instrumentacin sin
tener que importar los datos entre aplicaciones.

La interfaz de control para el usuario desarrollada se presenta a continuacin:



Figura 4.3. Programa en Excel Visual Basic para el control del emulador de GFV.


Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-7
La pantalla de control muestra diferentes opciones:

ID?: Pedimos la identificacin del equipo.

SET VALUES: Realiza un reseteado del equipo y a continuacin configura la tensin y
la corriente de salida en modo fijo (fuente fija de potencia).

SET TABLE: Realiza un reseteado del equipo y a continuacin configura la tensin y
la corriente de salida en modo tabla (enva los pares de valores tensin / corriente
definidos por el usuario para configurar la curva hasta un mximo de 4000 puntos). Este
panel de control se ha implementado para enviar veinte puntos de la curva.

SET CURVE: Realiza un reseteado del equipo y a continuacin configura la tensin y
la corriente de salida en modo curva (enva los cuatro valores caractersticos de una
curva de panel fotovoltaico, es decir, V
oc
, I
sc
, V
mp
, I
mp
).

CURVE 1000: Configura el simulador fotovoltaico con la curva especificada en las
celdas inferiores sin resetear el equipo. Transfiere los valores de la curva del panel (V
oc
,
I
sc
, V
mp
, I
mp
) correspondientes a una irradiancia de 1000 W/m
2
. Solamente se puede
utilizar este botn estando en modo curva. Su utilidad est pensada para realizar saltos
de irradiancia conjuntamente con los botones CURVE 800 y CURVE 500.

CURVE 800: Tiene la misma utilidad que el botn CURVE 1000 pero en este caso
transfiere los valores de la curva correspondientes a una irradiancia de 800 W/m
2
.

CURVE 500: Tiene la misma utilidad que el botn CURVE 1000 pero en este caso
transfiere los valores de la curva correspondientes a una irradiancia de 500 W/m
2
.

FAST OUT CHAGE: Modifica los valores de salida de forma directa sin reseteado.
Esta funcin ser de gran utilidad cuando se quieran hacer saltos de punto de trabajo.
Previamente se debe seleccionar a que modalidad afectar el cambio (fijo, curva, tabla).

SELECT ARRAY: Botn para seleccionar el simulador a utilizar. Su utilidad est
pensada para cuando se trabaja con el multinivel y tenemos un simulador conectado a
cada etapa de entrada. La direccin del simulador 1 es la 4 mientras que la del
simulador 2 es la 5 y la del 3 es la 6.

RESET VALUES: Borra los valores de definicin de las curvas y los de las capturas.

READ OUTPUT: Captura el valor de tensin y corriente presente en la salida.

OUT AVER. VALUE: Calcula una media del valor de tensin y corriente presente en
la salida. El clculo se realiza a partir de la media aritmtica de veinte valores
instantneos consecutivos de tensin y corriente.

OUTPUT O: Ponemos en marcha la salida sin modificar ningn valor.

OUTPUT OFF: Paramos la salida sin modificar ningn valor.

Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-8
Para poder generar la comunicacin entre la aplicacin Excel y el equipo es necesario
seguir el protocolo que se detalla en el manual del equipo en cuestin, ya sea el
simulador de panel, el osciloscopio, la fuente de tensin, De no disponer de dicha
informacin debe pedirse al fabricante.

Otro aspecto importante es la necesidad de introducir en el proyecto de Visual Basic la
librera VISA que incluye los comandos de comunicacin. En este caso se ha utilizado
la versin:
' -------------------------------------------------------------------------
' Title : VISA32.BAS
' Date : 11-19-1999
' Purpose : Include file for the VISA Library 2.2 spec
' -------------------------------------------------------------------------

Una vez generado el entorno de trabajo y programadas las funciones se debe preparar la
el hardware para poder comunicar. Para ello se debe disponer de un puerto de salida
GPIB o bien de una tarjeta USB GPIB comercial junto con el driver de control. En
este proyecto se ha utilizado la siguiente:







Figura 4.4. USB / GPIB Interface High-Speed USB 2.0 Ref. 82357A


4.2.2. Equipos de alimentacin y adquisicin de resultados.

El simulador de panel fotovoltaico visto en el punto anterior tiene la finalidad de
generar la energa que posteriormente es tratada y entregada a la red. No obstante, es
preciso disponer de algn sistema de alimentacin para los circuitos de control y los
drivers de los Mosfets. Dicho sistema se podra generar aadiendo la circuitera
necesaria y extrayendo la potencia de los generadores de entrada. Sin embargo, en el
laboratorio, este aspecto se puede simplificar utilizando fuentes de tensin
independientes pero teniendo en cuenta la separacin entre masas, pues de lo contrario
se cortocircuitan las diferentes etapas y no se consigue la accin multinivel (ver
apartado 4.2.8.).

Para la alimentacin de las tarjetas de control se utiliza la fuente Hewlett Packard
E3631A con salida de tensin DC 0 6V, 5A / 0 - 25V, 1A. Esta fuente se ajusta
para entregar los 5V que alimentan los circuitos digitales (conversores AD,
multiplicador digital, puertas lgicas y optocopladores) y 15V que alimentan la parte
analgica (sensores y amplificadores operacionales).

Otra fuente de alimentacin que se utiliza es el modelo HM7042-5 Hameg triple
power supply. Dotada de tres salidas de tensin 0 32V, 2A / 0 5.5V, 5A / 0 32V,
2A. En este caso se han destinado las salidas de 0 32V, 2A ajustndolas a 18V, para
alimentar los drivers de control de los Mosfets. Con una nica fuente de este tipo se
Tarjeta USB/GPIB modelo 82357A de Agilent Technologies
+
Driver IOLibSuite_15_0_11221

Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-9
puede alimentar dos etapas del inversor multinivel puesto que ofrece aislamiento de
masa entre salidas.






Figura 4.5. HM7042-5 Hameg triple power supply y Hewlett Packard E3631A


La adquisicin de datos y formas de onda del inversor multinivel se ha realizado con el
osciloscopio digital DL9040 de Yokogawa de cuatro canales. El ancho de banda es de
500 MHz y la tasa de muestreo mxima de 5GS/s.

La transferencia de las formas de onda al PC, se puede realizar guardando el fichero
directamente al pendrive o bien utilizando alguna aplicacin software. En este
proyecto se ha utilizado el programa de Yokogawa Xviewer versin 1.51
(Mathematical edition) ya que permite la captura de la pantalla y la extraccin numrica
de los valores para su posterior procesado a travs del puerto USB.

Como accesorios para medir las formas de onda se han utilizado las sondas siguientes:

- Sonda de tensin modelo 701943: Utilizada para la medida de seales del
circuito de control.

- Sonda diferencial activa modelo 700924: Necesaria para monitorizar la salida
del inversor, la tensin entregada por los simuladores fotovoltaicos y la tensin
de red en primario del transformador.

- Sonda de corriente modelo 701933: La sonda activa de corriente se ha utilizado
para realizar la medida de la corriente entregada a la red.


















Figura 4.6. Instrumentacin utilizada en el laboratorio.
HM7042-5
E3631A
Sonda tensin
Modelo: 701943
Sonda corriente
Modelo: 701933
Corriente mxima: 30 ARMS.
Ancho de banda: 50 MHz.
Sonda diferencial activa
Modelo: 700924
DL9040 Yokogawa
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-10
4.2.3. Etapas inversoras.

Las etapas inversoras son las que constituyen la topologa del multinivel. Se han
realizado de forma modular para poder ir aadiendo bloques en cascada y as validar el
funcionamiento inversor multinivel en diferentes condiciones.

Cada etapa est formada por un condensador de entrada de 2200F, cuatro Mosfets del
tipo IRPF240, dos drivers IR21084 y un opto-acoplador A263N. El esquema de
conexionado entre los diferentes componentes se muestra a continuacin.














Figura 4.7. Esquema elctrico de una etapa de entrada del multinivel.


Para la unin en cascada de las diferentes etapas se deben interconectar las salidas de
forma apropiada y adems, hay que tener especial atencin con la configuracin de las
masas. Si se unen las masas de los diferentes conjuntos fotovoltaicos o de las etapas se
genera un punto comn de tensin y por tanto no es posible realizar la suma de voltajes
en la salida. Para evitar este problema, se deben tener las salidas de los emuladores
fotovoltaicos en modo flotante y adems se deben aislar las etapas de potencia del
circuito de control mediante opto-acoplador.



Esquema simplificado de una etapa
Esquema elctrico



Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-11




Figura 4.8. Esquemtico del inversor multinivel con tres etapas de entrada.

Esquema elctrico del multinivel con tres etapas
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-12
A continuacin se describe cada uno de los componentes elegidos para la
implementacin de las etapas de potencia y la estrategia de control que se ha utilizado
para definir la secuencia de conmutacin de los Mosfets.


Condensador de entrada:

El simulador de panel fotovoltaico debe ir conectado a un condensador que se encargue
de almacenar la energa entregada. Cuando se conecta el panel al condensador, este
empieza a cargarse siguiendo la curva del panel hasta llegar a la tensin de circuito
abierto, momento en que la corriente es cero. En la figura 4.9 se puede ver la direccin
en la que se recorre la curva durante la carga.


Figura 4.9. Ejemplo de carga del condensador de entrada.

La correcta gestin de la conmutacin de los transistores que forman el puente, har que
la tensin en bornes del condensador se estabilice alrededor del valor de trabajo
deseado, ya sea el punto de mxima potencia u otro definido previamente.

Idealmente, la tensin en bornes del condensador debera ser constante y de valor igual
al deseado, no obstante, teniendo en cuenta las ecuaciones del sistema vistas en el
captulo 2, se debe considerar que tenemos una oscilacin de frecuencia doble a la de la
red (100 Hz).

( ) ( ) t A K t
A K L
P
dt
dv C
g g g
g g
PV
dc
sin 2 sin
2

2
2 2
2 2 2

=


Esta oscilacin hace que el valor de tensin en bornes del condensador no sea estable y
se produzca un desplazamiento con forma sinusoidal sobre la curva, repercutiendo tanto
en la tensin como en la corriente entregada por el panel fotovoltaico.

Si se representa esta variacin sobre la curva se puede ver con claridad el efecto
producido.






Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-13












Figura 4.10. Efecto de la oscilacin sobre la tensin v
dc
.


A partir de la ecuacin y considerando fijas la amplitud de la tensin de red y su
frecuencia, se pueden realizar dos afirmaciones:

- La amplitud mxima de la perturbacin se dar para el valor de mxima
potencia, momento en el que K es mxima.
- El incremento de C reduce la amplitud de la perturbacin sobre el valor medio
de v
dc
.
- La influencia del inductor (L) en el valor de la perturbacin es menor que el de
C.

Para elegir el valor del condensador, tambin se debe tener en cuenta que no se
incremente de forma considerable el tamao, el peso y el coste del inversor.

En la siguiente figura se muestra el efecto del valor de C sobre la perturbacin, variando
su valor entre 2200 F, 1000 F y 470 F. Las condiciones de simulacin, elegidas
como ejemplo, son para un inversor central modulado con PS y con una curva de panel
caracterizada por los puntos V
oc
= 442V, V
mp
= 370.6V, I
sc
= 6.1A, I
mp
= 5.7A. Se sita el
punto de trabajo del convertidor para estar en la condicin de mxima potencia.




Diseo Condensador Rizado [V
p
]
1 2.2 mF 4.1
2 1 mF 8.9
3 0.47 mF 18.5








Figura 4.11. Efecto de la variacin de C sobre la perturbacin en v
dc
.

1.4 1.405 1.41 1.415 1.42 1.425 1.43 1.435 1.44
365
370
375
X: 1.403
Y: 374.7
V
d
c
1

p
a
r
a

C
=

2
.
2

m
F
Tiempo [seg]
X: 1.417
Y: 366.4
1.4 1.405 1.41 1.415 1.42 1.425 1.43 1.435 1.44
360
370
380
X: 1.403
Y: 379.5
V
d
c
1

p
a
r
a

C
=

1

m
F
Tiempo [seg]
X: 1.418
Y: 361.4
1.4 1.405 1.41 1.415 1.42 1.425 1.43 1.435 1.44
360
380
400
X: 1.403
Y: 389.1
V
d
c
1

p
a
r
a

C
=

0
.
4
7

m
F
Tiempo [seg]
X: 1.417
Y: 350.9
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-14
Puede observarse que la amplitud de la perturbacin aumenta a medida que decrece la
capacidad de entrada del convertidor. En [A.6] se ofrece una metodologa de clculo del
valor mnimo de C en funcin de los parmetros del sistema inversor.

El valor que se ha utilizado en la implementacin es el de 2.2 mF / 220V electroltico,
pues era el que se tena disponible en el laboratorio. Se debe considerar una curva del
panel que tenga una tensin de circuito abierto menor que 220V
DC
.


Mosfet IRPF240:

El transistor elegido para el diseo del puente ha sido el IRPF240 que se caracteriza por
tener una tensin de ruptura entre drenador y surtidor de 200 V, soporta una corriente
nominal mxima de 20 A y la tensin de polarizacin entre puerta y surtidor mxima es
de 20 V. La corriente de pico mxima es de 80 A. Este valor es importante ya que se
pueden generar picos de corriente en arranque que lleguen a destruir los transistores que
forman el puente inversor.

Otro parmetro importante es la resistencia r
DS(O)
de 0.18 que influye en el
rendimiento del convertidor.



Figura 4.12. Smbolo y asignacin de pines del transistor IRPF240.


Driver IR21084:

El driver elegido para controlar los transistores de potencia es el IR21084, el cual tiene
dos entradas de control y una de ajuste del tiempo muerto (DT). La tensin de
alimentacin se ha fijado a 18 V para poder polarizar el Mosfet y sin sobrepasar la
tensin mxima puerta surtidor (20 V).

Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-15



Figura 4.13. Smbolo y asignacin de pines del dirver IR 21084.

En el montaje, los pines de control HIN y LIN estn unidos y por tanto la conmutacin
que se debe esperar en la salida es la que se muestra en la figura 4.13 marcada en color
rojo. Esto har que los transistores de cada rama del puente conmuten de forma
complementaria respetando un tiempo muerto fijado por un potencimetro que se
conecta en el pin 4 (DT). En las figuras 4.7 y 4.8 se puede observar en detalle el
conexionado de cada pin.

Destacar en este punto que no podremos dejar en ningn momento todos los transistores
en ON ni todos en OFF. Esto se debe considerar a la hora de definir el estado de reposo
o desconexin de la red, con el fin de evitar cortocircuitos en el panel fotovoltaico o en
la misma red.

Utilizando esta topologa, solamente hace falta disponer de dos seales de control para
cada puente inversor.


Optocoplador A263:

Este circuito tiene la finalidad de separar las masas entre el circuito analgico de control
y la etapa de potencia. La estructura interna se muestra a continuacin:


Figura 4.14. Smbolo y asignacin de pines del optocoplador A-263.

Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-16
Se ha utilizado este encapsulado ya que incluye dos opto-acopladores que se asignan a
las dos seales de control del puente inversor. Cada etapa de potencia que se aada al
sistema deber llevar asociado uno de estos integrados.

La tensin mxima a la que podemos alimentar este circuito es de 5 V. Por este motivo
ha sido necesario incluir un regulador lineal de tensin (LM7805) que, a partir de los 18
V de alimentacin del driver, genere la tensin regulada adecuada.

Las seales de control de los Mosfets provienen directamente de la FPGA y van al opto-
acoplador para aislar el circuito de control de la etapa de potencia. Por un lado el
aislamiento separa la masa entre primario (etapa de potencia) y secundario (tarjeta de
control y medida) mientras que al mismo tiempo evita que fallos durante el proceso de
desarrollo puedan daar la tarjeta de control.

La conmutacin de los Mosfets viene determinada por el sistema de control y en base a
la eleccin de una estrategia de conmutacin unipolar. El nmero de niveles queda
definido por la ecuacin:

1 2 + = n k

Siendo k el nmero de niveles y n el nmero de etapas del inversor.

Inversor multinivel de tres etapas (7 niveles)
U_A_1 U_A_2 S
1a
S
2a
S
3a
S
4a
u
1
V
H1

0 0 OFF ON OFF ON 0 0
0 1 OFF ON ON OFF 1 V
PV1
1 0 ON OFF OFF ON -1 -V
PV1
1 1 ON OFF ON OFF 0 0

U_B_1 U_B_2 S
1b
S
2b
S
3b
S
4b
u
2
V
H2

0 0 OFF ON OFF ON 0 0
0 1 OFF ON ON OFF 1 V
PV2
1 0 ON OFF OFF ON -1 -V
PV2
1 1 ON OFF ON OFF 0 0

U_C_1 U_C_2 S
1c
S
2c
S
3c
S
4c
u
3
V
H3

0 0 OFF ON OFF ON 0 0
0 1 OFF ON ON OFF 1 V
PV3
1 0 ON OFF OFF ON -1 -V
PV3
1 1 ON OFF ON OFF 0 0

Tabla 4.1. Secuencia conmutacin inversor multinivel de tres etapas.

La salida del inversor multinivel de tres etapas se obtiene considerando la ecuacin:

=
+ + =
+ + =
3 2 1
3 2 1
3 2 1
Pv PV PV HTmn
Pv PV PV HTmx
H H H HT
V V V v
V V V v
v v v v

Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-17
con unos niveles de tensin determinados por:

=
=
=
=
=
+ =
+ + =
+ + =
3 2 1
2 1
1
1
2 1
3 2 1
3 2 1
0
PV PV PV HT
PV PV HT
PV HT
HT
PV HT
PV PV HT
PV PV PV HT
H H H HT
V V V v
V V v
V v
v
V v
V V v
V V V v
v v v v

Las seales U_A_1, U_A_2, U_B_1, U_B_2, U_C_1, U_C_2, son las salidas de control
de la FPGA para cada una de las etapas inversoras.


4.2.4. El inductor.

El inductor que se ha utilizado para la implementacin del prototipo de laboratorio ha
sido el mismo que se utiliz en las tesis [T.1], [T.2] y en el artculo [A.2]. El bobinado
se realiza a mano sobre un ncleo de ferrita toroidal y presenta una inductancia de valor
950 H. Este mismo valor ha sido utilizado en todos los montajes y en todas las
simulaciones realizadas con Matlab/Simulink.




Figura 4.15. Inductor de 950 H utilizado en el proyecto.



4.2.5. Mdulo de conexin a la red elctrica.

Para poder conectar el prototipo del inversor multinivel a la red elctrica, es necesario
insertar un mdulo de conexin. Este mdulo tiene la finalidad de solucionar tres
problemas que se plantean en este proyecto:

- Sincronizacin de la conexin a la red evitando picos de corriente.
- Escalado de la tensin de salida del inversor para poder inyectar la corriente a la
red elctrica.
- Aislamiento galvnico entre el inversor y la red.



Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-18
Sincronizacin:

El instante de conexin del sistema es uno de los puntos crticos a la hora de disear un
inversor que deba entregar la potencia generada a la red elctrica. En muchas
aplicaciones se puede encontrar un PLL encargado de realizar una sincronizacin con la
red. Esta sincronizacin tiene una doble finalidad:

- Conecta el sistema en el instante preciso evitando picos de corriente y otros
fallos que puedan daar el hardware.

- Sirve de referencia para sincronizar la corriente que se entrega con la tensin de
red, mantenindolas en fase para optimizar el factor de potencia (idealmente
cos = 1).

Como el segundo punto queda fijado por el lazo de control interno mediante la accin
integral resonante a frecuencia de red, solamente es necesario contemplar el instante de
conexin. En este proyecto se ha utilizado un mtodo alternativo basado en el cierre del
contacto de un rel que est situado entre la salida del inversor y la red elctrica.











Figura 4.16. Ubicacin del contacto de conexin en el circuito.

Dicho contacto tiene la finalidad de cerrar el circuito justo cuando el sistema est listo
para entregar energa y adems, la tensin de red pasa por cero. Para ello se mide el
retardo desde que el rel recibe la orden activacin hasta que el contacto mecnico se
cierra y se tiene en cuenta para dar la orden.











Figura 4.17. Esquema de la secuencia de control del rel.

Este mtodo permite la conexin del sistema inversor a la red en un tiempo menor de
dos ciclos de red.

L
950H


Inversor

Emulador
panel
fotovoltaico
Deteccin Retardo /
espera
Orden
activacin
Cierre mecnico
contacto
0 ) ( = t v
g
Inversor
ON
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-19
Mediante unas capturas realizadas en el laboratorio se puede mostrar el problema
descrito.

















Figura 4.18. Conexin del contacto del rel para v
g
(t) 0.


















Figura 4.19. Conexin del contacto del rel para v
g
(t) = 0.


Retomando en este punto la estrategia de conmutacin de los Mosfets presentada con
anterioridad y, considerando la situacin de reposo de estos cuando el convertidor de
potencia est parado, se puede ver con claridad que si se cierra el contacto, la red ver
un cortocircuito a travs de S
1a
y S
3a
o bien de S
2a
y S
4a
segn el estado.






Inv. ON
Orden Rel
Cierre contacto
(5ms) v
g
(t) = 0
Pico corriente 0A
Orden Rel
ON/OFF
v
g
(t)
i
L
(t)
Cierre contacto
(5ms) v
g
(t) 0
Pico corriente 35A
ON/OFF
Orden Rel
v
g
(t)
i
L
(t)
Inv. ON
Orden Rel
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-20












Figura 4.20. Situacin de reposo del convertidor y estado de los Mosfets.

Manteniendo el contacto abierto podemos garantizar que no hay circulacin de energa
en ninguna direccin. Tanto desde el emulador de panel fotovoltaico como desde la red
se ve un circuito abierto.

En el punto 4.3.2.6 se detalla la estructura de cdigo implementada dentro de la FPGA
para poder controlar el rel y se muestra la captura validando el proceso de conexin.


El hardware utilizado para la implementacin de este circuito est basado en un rel del
tipo G5LB con una tensin de alimentacin de 5V y capaz de soportar una corriente de
10A. El circuito de control se muestra en el esquema adjunto:



Figura 4.21. Circuito de control para el rel G5LB.


Escalado de la tensin de salida:

Otro aspecto importante es el escalado de la tensin de salida para poder entregar la
potencia procedente del emulador de panel fotovoltaico a la red.

Como se ha comentado en el apartado 4.2.1, la utilizacin de los simuladores Agilent
E4350B limita el nivel mximo de tensin de trabajo e impide que la tensin en la
salida del inversor pueda ser mayor que la de la red. Para solucionar este problema se
disea el inversor considerando una tensin de red de 33V
RMS
y luego se eleva a
220V
RMS
mediante la insercin de un transformador caracterizado por:


Emulador
panel
fotovoltaico
L
950H
C
2200F
S1a S3a
S2a S4a
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-21

TECOTRAFO
Tipo TE VA 130
VP 220
VS 15 0 -15 / 10 0 -10
AS 2.2 / 3.2 Hz 50 60

Tabla 4.2. Caractersticas del transformador utilizado en el prototipo.

Las caractersticas del transformador se presentan considerando que trabaja como
reductor. Por tanto, debemos redefinir el concepto de primario y secundario el cual
queda como:

- Primario
(1)
: ) sin( 2 33 ) sin( t t A V
g g g g
= = donde . / 50 2 2 seg rad f
g g
= =
- Secundario: ) sin( 2 220 ) sin(
2
t t A
g g g
= donde . / 50 2 2 seg rad f
g g
= =

(1)
Voltaje de primario medido en el laboratorio sin carga.

Se utiliza por tanto el devanado de 15 V.

En cascada con el transformador se ha incluido un interruptor magnetotrmico de 40A
modelo C32N con la finalidad de cortar de forma automtica la conexin con la red si
se produce algn fallo en el sistema.

El transformador sirve a su vez para cumplir la normativa vigente en Espaa. En ella,
se ordena la instalacin de sistemas de aislamiento entre la red elctrica y los
generadores elctricos que se conecten a ella.


4.2.6. Sensado de las variables de estado.

Para la implementacin del sistema de control del inversor multinivel ha sido necesario
medir y escalar las variables de estado:

- Tensin en bornes del condensador de entrada v
dc
(salida panel fotovoltaico).
- Tensin de red v
g
en primario del transformador.
- Corriente entregada a la red i
L
(corriente que circula por el inductor).


4.2.6.1. Tensin en bornes del panel fotovoltaico.

La tensin en bornes del panel fotovoltaico o lo que es lo mismo, en bornes del
condensador de entrada (v
dc
) se mide utilizando el integrado LEM LV-25. Este
integrado ofrece aislamiento de masa entre la entrada y la salida.

Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-22


Figura 4.22. Sensor de tensin LEM LV-25.

La alimentacin del dispositivo se hace a 15V procedentes de la fuente de tensin HP
E3631A que alimenta el resto del hardware de control.

En el diseo hay que tener en cuenta las especificaciones del fabricante. La corriente
nominal que puede circular por el primario (I
P
) es de 10 mA y el de la tensin en
bornes (V
P
) entre 20 y 500V. El valor de corriente, junto con la resistencia serie que se
aade, fijan el valor mximo de tensin a poder medir. Para disponer de un margen de
tensin suficiente con respecto a las curvas de panel que se fijan en el emulador
fotovoltaico, se ha elegido una resistencia de 9.2 K.

V mA R I V
P P Pmx
92 9200 10 = = =

La tensin en bornes del pin de salida (M) queda fijada en funcin del valor de la
resistencia que se conecte, la cual debe estar comprendida entre 100 y 350 ohmios. Este
valor se ha ajustado en el laboratorio mediante un potencimetro para conseguir el
voltaje adecuado.



Figura 4.23. Circuito de sensado y acondicionamiento de v
dc
.


La salida del sensor se adapta utilizando amplificadores operacionales del tipo TL072.
Dicho integrado incluye dos operacionales. El primero se configura como seguidor de
tensin para adaptar la impedancia manteniendo la ganancia unitaria mientras que el
segundo hace la funcin de amplificador no inversor.



Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-23
La ganancia vendr determinada por el ajuste del potencimetro:



{ } 1 , 0
1
1
1

\
|

+ =
|

\
|

+ =

R
R
V
V
in
out


Figura 4.24. Acondicionamiento de V
dc
.


Esta etapa nos servir por un lado para escalar la tensin v
dc
dentro de un margen que
pueda aceptar el conversor A/D y tambin para realizar las operaciones de
multiplicacin y divisin por las constantes necesarias para el clculo de la energa
almacenada:

2

2
dc
sto
v
C E =
La ganancia total del conjunto se escala por un factor igual a:
2
C
G
dc
=

Dentro de la FPGA solamente ser necesario multiplicar la entrada procedente del
conversor A/D por ella misma, es decir:

( )( )
2

2

2
2
2
2 2
/ /
dc
dc dc dc dc dc dc dc D A D A sto
v
C v
C
v G v G v G V V E =
|
|

\
|
= = = =

Al mismo tiempo, la tensin que ve el conversor A/D es:

( )
dc dc dc dc dc D A
v v
mF
v
C
v G V 033 . 0
2
2 . 2

/
= = = =

Teniendo en cuenta el rango de excursin dinmica del conversor A/D que est
alrededor de 0 4V, permite una tensin mxima en bornes del condensador de:

V v
V
v
dcmx
Dmx A
dcmx
21 . 121
033 . 0
4
033 . 0
/
= < <

Valor mayor que la tensin mxima de circuito abierto que puede entregar el simulador
de panel fotovoltaico E4350B e igual a la tensin mxima que puede entregar el
simulador E4362A.






Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-24
4.2.6.2. Tensin de red.

Para la medida de la tensin de red tambin se ha utilizado el sensor LEM LV-25
alimentado a 15V. El circuito de adaptacin difiere ligeramente del anterior puesto
que el rango de trabajo es distinto y de valor fijado. En este caso, como la tensin en
primario del transformador siempre tiene el mismo valor, se puede calcular la
resistencia serie de primario y ajustarla para todo el margen dinmico. Adems, en lugar
de considerar el valor I
P
(nominal r.m.s.) se debe utilizar para los clculos el valor I
P

de pico a pico.

= = = =

= =
=
3332
14
66 . 46
66 . 46 2 33
14
mA
V
I
v
I
V
R
V v
mA I
P
g
P
P
P
g
P


En el diseo se ha utilizado una resistencia equivalente de 4.4K para evitar trabajar en
los mximos dinmicos que marca el fabricante.

El esquema del circuito se adjunta a continuacin:





=
+
+ +
= K
K K K K
R
P
4 . 4 4489
22 22
1
10
1
10
1
1






Figura 4.25. Circuito de sensado de v
g
.

El posterior acondicionamiento de seal se explica en los prximos apartados.


4.2.6.3. Corriente inyectada a la red.

La corriente inyectada a la red puede medirse mediante la inclusin en el circuito de una
resistencia shunt de pequeo valor y un amplificador diferencial o bien utilizando un
sensor hall. En este proyecto se ha optado por utilizar el sensor hall LTS-15-NP de
LEM con una doble finalidad, por un lado poder medir la corriente sin introducir
prdidas al inversor y por otro, obtener la medida manteniendo el aislamiento entre
primario y secundario tal y como se ha realizado previamente con las medidas de
tensiones.

Este sensor se alimenta de la fuente HP E3631A utilizando la salida de 5V.
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-25


Figura 4.26. Sensor Hall LEM LTS-15-P.

La configuracin del sensor se ha realizado teniendo en cuenta que la corriente mxima
que se extraera sera menor de 5A
RMS
. Dicha configuracin se puede realizar siguiendo
las indicaciones que se detallan en la tabla que se encuentra en las especificaciones del
fabricante.



En concreto se ha elegido la ltima configuracin y se ha realizado devanado una vuelta
en primario. La medida que se obtiene en la salida es menor de 5V y no presenta
saturacin. En caso de querer extraer mayor potencia del sistema debe modificarse la
topologa rehaciendo las conexiones en funcin de la corriente a entregar a la red.

A la salida del sensor se ha incluido una etapa de acondicionamiento y escalado como la
que se adjunta a continuacin.



Figura 4.27. Etapa de acondicionamiento y escalado de la corriente inyectada a red.




Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-26
Las ecuaciones que determinan el funcionamiento de este circuito son:

LEMoffset L IL
V i V 5 . 2 + =

( )
offs IL offs IL offs IL A
V V G V V
K
K
V
K
K
K K
K
V V = =
|

\
|

\
|
+
|

\
|
+
= 2 . 2 2 . 2
10
22

10
22
1
22 10
22

1


( )
( )
( )
|

\
|
+
|

\
|
+
+
=
|

\
|
+
|
|

\
|
+ +
+
=
K
K
K K
K K
V
K
K
K R R
K R
V I
A A L
27
22
1
6 . 5 100
6 . 5 100 1

27
22
1
6 . 5 1
6 . 5 1



{ } 1 , 0
2
G V I
A L
=

= =
= =

0959 . 0 1
81 . 1 0
2
2
G
G



( ) ( )
offs IL T offs IL A L
V V G G V V G G V I = = =
2 1 2


2 1
G G G
T
=

= = = =
= = = =

21 . 0 0959 . 0 2 . 2 1
982 . 3 81 . 1 2 . 2 0
2 1
2 1
G G G
G G G
T
T



( )
offs LEMoffset L T L
V V i G I + = 5 . 2

La corriente medida con el sensor LTS-15 presenta un desplazamiento en continua
debido al margen de trabajo del dispositivo. Este desplazamiento es de 2.5V ya que
centra la medida entre 0 y los 5V de alimentacin.

Mediante el circuito presentado se puede ajustar la ganancia G
T
y cancelar el
desplazamiento en continua con la finalidad de centrar la medida en cero voltios.


4.2.7. Hardware complementario.

Para la implementacin del control del convertidor se ha optado por realizar algunas
operaciones mediante hardware externo a la FPGA:

- Generacin de pulsos para sincronizacin con la red.
- Generacin de la corriente de referencia.
- Clculo de la seal de error.
- Conversin A/D de seales.
- Conversin D/A de seales.

Algunas de estas operaciones como por ejemplo el clculo de la seal de error y la
generacin de la corriente de referencia se hubieran podido incluir en el cdigo interno
de la FPGA. No obstante, utilizando hardware externo, se consigue un escalado de las
variables mucho ms sencillo, permite la monitorizacin del funcionamiento mediante
osciloscopio y adems se asegura que no hay prdida de resolucin previa debida al
muestreo y a los tiempos de retardo de la circuitera digital.

Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-27
4.2.7.1. Generador de pulsos para sincronismo con la red.

Para poder sincronizar el sistema de control con la red, se ha implementado un circuito
hardware que entrega un pulso cada vez que la tensin de red pasa por cero. Este
circuito est conectado a la salida del sensor de v
g
y formado por un circuito
comparador.


Figura 4.28. Generador de pulsos para sincronismo con la red.

La seal grid_sense proviene del sensor LV25-P visto en el apartado 4.2.5.2. Una vez
adaptada la impedancia mediante un amplificador operacional TL072, se conecta a un
comparador LM311 el cual genera un pulso en la salida a cada ciclo de red.

Concretamente, se genera el pulso cuando se cumple la siguiente ecuacin:

V
K K
K
V V sense Grid 148 . 0
1 100
1
15 _ =
+
= >
+


La salida del comparador va conectada a una resistencia de pull-up y un condensador
para filtrar paso bajo la transicin evitando la generacin de oscilaciones.
Seguidamente, un inversor SN74LS125 se encarga de adaptar el nivel de la seal para
introducirlo a la FPGA.


4.2.7.2. Generador de corriente de referencia.

Uno de los puntos importantes del control es la generacin de la corriente de referencia
a partir de la tensin de red. La correcta implementacin de esta operacin es crucial
para poder tener una referencia en corriente que cumpla el doble objetivo:

- Presente una forma de onda igual a la de la red.
- Est en fase con la red.

Se debe evitar que se produzcan saturaciones en los circuitos hardware que puedan
modificar la forma de onda medida y tambin la utilizacin de circuitos R-C para
filtrado de ruido ya que aaden retraso en la fase.


Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-28

Figura 4.29. Generador de la corriente de referencia.

El circuito utilizado para generar la tensin de referencia es el AD7945.



Figura 4.30. AD7945 Multiplicador DAC de 12 bits.

El conexionado de este circuito se ha realizado tal y como indica la figura 4.29. Se
inserta la tensin de red debidamente escalada a travs de la pata V
ref
y el valor de K
calculado internamente en la FPGA por la entrada DB de 12 bits. Como resultado se
obtiene la multiplicacin entre V
ref
y K tal y como indica la ecuacin:

g Lref L g o ref o
v K I I v K V V D V
*
= = = =

La tabla de codificacin se detalla en la figura 4.31 as como tambin la secuencia de
tiempo para cargar el valor en los registros internos.


Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-29


Figura 4.31. Secuencia de tiempos y codificacin de la salida.

El driver de control de toda esta secuencia se realiza mediante la FPGA.


4.2.7.3. Clculo de la seal de error.

El clculo de la seal de error se realiza utilizando amplificadores operacionales tal y
como muestra el circuito que se adjunta a continuacin:


Figura 4.32. Circuito para el clculo de la seal de error.

Utilizando un circuito hardware aseguramos que el clculo del error se realiza sin haber
perdido previamente resolucin por el muestreo o por la cuantificacin. Posteriormente,
un convertidor A/D se encarga de muestrear la seal de error para introducirla en la
FPGA.

Se debe asegurar que la disposicin de los circuitos integrados est configurada
correctamente para evitar acoplamientos de ruido, pues la seal de error tiene una
amplitud pequea y es muy sensible. Es indispensable una buena distribucin de masas,
a ser posible en estrella, y la utilizacin de condensadores de filtrado en todas las
alimentaciones.

Las ecuaciones que determinan el funcionamiento del circuito presentado en la figura
4.32 son las siguientes:

( ) ( )
L g g L L L A
I v K v K I I I V = = + =
*


( )
( )
( )
( )G v K I
K K
K K
I v K
K R
K R
V V
g L L g A B

10 100
10 100 1

10
10 1
= |

\
|
+
+
= |

\
|
+
+
=



Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-30
{ } 1 , 0
( )

= =
= =

\
|
+
+
=
09 . 0 1
11 0
10 100
10 100 1
G
G
K K
K K
G



( )
offs g L offs B
V G v K I V V ERROR = =

El circuito realiza el clculo del error entre la corriente inyectada a red y la corriente de
referencia, permite el ajuste de ganancia y facilita la compensacin de desplazamientos
en continua que puedan aparecer debido a las tensiones de offset de los integrados.


4.2.7.4. Conversin A/D de las seales.

Durante el diseo del prototipo, se haban asignado las entradas de la FPGA para
utilizar integrados de conversin A/D paralela con 12 bits. Sin embargo, en la posterior
ampliacin del inversor multinivel a tres etapas, fue necesaria la reasignacin de las
entradas y la utilizacin de un convertidor serie de 12 bits para poder aadir la tensin
v
dc3
. A continuacin se detallan los aspectos hardware de ambos dispositivos.


4.2.7.4.1. Convertidor A/D paralelo de 12 bits (AD9225).

El integrado AD9225 de Analog Devices es un convertidor A/D paralelo de 12 bits y 25
MSPS que puede ser configurado tanto para muestrear tensiones continuas como
acopladas en AC. En base a esta caracterstica, se utiliza en el proyecto para muestrear
las tensiones de entrada v
dc1
, v
dc2
y la seal de error.




Figura 4.33. Conversor A/D AD9225.

La tensin de alimentacin es de 5V y se obtiene de la fuente HP E3631A. La
configuracin de las topologas de funcionamiento y la eleccin de los valores de los
componentes se ha realizado teniendo en cuenta las especificaciones que da el
fabricante.

Seguidamente, se presentan los esquemticos que varan segn la funcin a la que se
destina el circuito:


Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-31
Muestreo de seales continuas (v
dc
):



Figura 4.34. Esquema de conexin del convertidor A/D para seales continuas.




Tabla 4.3. Codificacin de la seal muestreada.

En base al conexionado presentado en la figura 4.34 y de la tabla 4.3, se puede
determinar el rango de funcionamiento del conversor A/D configurado para tensiones
continuas siendo VINB = VREF.

ETRADA [V] SALIDA DIGITAL OTR
VINA < 0 0000 0000 0000 0000 1
VINA = 0 0000 0000 0000 0000 0
VINA = +VREF 1000 0000 0000 0000 0
VINA = +2VREF 1111 1111 1111 1111 0
VINA > +2VREF 1111 1111 1111 1111 1

Tabla 4.4. Codificacin para seales continuas (v
dc
).

Por tanto, el margen de tensiones de entrada que acepta el conversor est comprendido
entre V
A/D
= 0 y V
A/D
= +2VREF donde VREF = 2V.

La frecuencia de muestreo la fija internamente la FPGA y se ha configurado para que
trabaje a 6.25 MHz. El driver de control se ha diseado respetando la secuencia de
tiempos mnimos que indica el fabricante.

Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-32


Figura 4.35. Especificaciones de funcionamiento.


Muestreo de seales acopladas en AC (error):



Figura 4.36. Esquema de conexin del conversor A/D para seales acopladas en AC.

Para poder muestrear seales acopladas en AC como es el caso de la seal de error se
debe utilizar la topologa presentada en la figura 4.36 En este caso se aade una red
exterior de amplificadores operacionales que fijan el valor de VINA y VINB de la
siguiente forma:

CML ERROR
R
R
R R
R
CML
R
R
R R
R
ERROR VIA + = |

\
|
+ |

\
|
+
+ |

\
|
+ |

\
|
+
= 1 1

CML ERROR
R
R
R R
R
CML
R
R
ERROR VIB + = |

\
|
+ |

\
|
+
+ |

\
|
= 1

VREF = 2V.

donde CML sirve para ajustar el nivel de continua de la medida.

Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-33
La tabla de funcionamiento, teniendo en cuenta las ecuaciones anteriores, queda de la
siguiente forma:

ETRADA [V] SALIDA DIGITAL OTR
VINA-VINB <-VREF ERROR < -1 0000 0000 0000 0000 1
VINA-VINB =-VREF ERROR = -1 0000 0000 0000 0000 0
VINA-VINB = 0 ERROR = 0 1000 0000 0000 0000 0
VINA-VINB =+VREF ERROR = 1 1111 1111 1111 1111 0
VINA-VINB >+VREF ERROR > 1 1111 1111 1111 1111 1

Tabla 4.5. Codificacin para seales alternas (Error).

La FPGA realiza el complemento A2 de la seal invirtiendo el primer bit. Esto permite
realizar operaciones con signo (signed).

ETRADA [V] SALIDA DIGITAL COMPLEMETO A2 OTR
ERROR < -1 0000 0000 0000 0000 1000 0000 0000 0000 1
ERROR = -1 0000 0000 0000 0000 1000 0000 0000 0000 0
ERROR = 0 1000 0000 0000 0000 0000 0000 0000 0000 0
ERROR = 1 1111 1111 1111 1111 0111 1111 1111 1111 0
ERROR > 1 1111 1111 1111 1111 0111 1111 1111 1111 1

Tabla 4.6. Complemento A2 de la seal muestreada.

Los condensadores de 560pF se aaden para limitar el ancho de banda del conversor
A/D y evitar que este se sature debido al ruido. La frecuencia de corte del filtro queda
establecida a MHz pF C R f
dB
861 ) 560 330 2 /( 1 ) 2 /( 1
3
= = =

. Valor mucho mayor


que la frecuencia fundamental de la seal de error (50Hz).


4.2.7.4.2. Convertidor A/D serie de 12 bits (ADCS7476MSPS).

Para la conversin A/D serie, se ha utilizado una placa comercial que incluye dos
integrados ADCS7476MSPS. La descripcin de esta placa se puede encontrar en la web
de Digilent con la referencia Pmod AD1.










Figura 4.37. Mdulo de conversin A/D serie de 12 bits.

El diseo ya est pensado para poderse conectar con facilidad en la placa de evaluacin
de la FPGA y, adems, ofrecen el mdulo VHDL listo para ser insertado en el cdigo.
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-34
4.2.7.5. Conversin D/A de las seales.

La conversin digital analgica de seales no se necesita de forma explcita para el
desarrollo del proyecto, pero es de gran utilidad para poder verificar el correcto
funcionamiento del cdigo desarrollado. Para poder ver en la pantalla del osciloscopio
la evolucin temporal de las variables internas se puede utilizar un convertidor D/A
serie o paralelo.


4.2.7.5.1. Convertidor D/A paralelo.

Inicialmente se dispona de una placa comercial con un convertidor tipo R2R de 8 bits:


Figura 4.38. Conversor R2R comercial de 8 bits.

Posteriormente, al realizar las placas de control y definir la asignacin de pines, se
sustituye este conversor por uno de 12 bits. El esquema elctrico sigue la misma
configuracin pero aadiendo ms bits (D11 D0).

Esta configuracin permite monitorizar las seales internas de forma asncrona pero se
pierden muchas salidas de la FPGA. Si se deben monitorizar diversas seales internas a
la vez, su uso es inviable y se debe recurrir a los conversore D/A serie. Este aspecto se
ha tenido en cuenta cuando se ha querido ver la evolucin de las portadoras o de los
ciclos de trabajo.


4.2.7.5.2. Convertidor D/A serie.

Para la conversin D/A serie se ha utilizado el mdulo comercial Pmod DA1 de
Digilent. Este mdulo est formado por una placa de circuito impreso que contiene dos
circuitos integrados AD7303 tal y como se muestra en la siguiente figura:










Figura 4.39. Conversor A/D serie de 8 bits.
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-35
Esta placa se alimenta a 3.3V directamente desde las salidas de la FPGA y permite la
extraccin de cuatro seales internas simultneamente. Cada AD7303 dispone de dos
canales de salida.

La resolucin de 8 bits no presenta un inconveniente, pues solamente se utiliza la salida
para monitorizar el funcionamiento. Los cuatro bits de menor peso se eliminan.

En la pgina web de Digilent se encuentra el driver de control en VHDL que facilita la
inclusin de este mdulo en el cdigo.


4.2.8. Placa de evaluacin Spartan-3 FPGA.

La FPGA que se utiliza en este proyecto es la Spartan-3 de Xilinx montada en una
tarjeta comercial de Digilent. Las especificaciones de dicha tarjeta se pueden encontrar
en [D.1] y se caracteriza por disponer de los siguientes perifricos:




Figura 4.40. Diagrama de bloques de la placa Spartan-3 FPGA Starter Kit board UG130.

La ubicacin de los diferentes elementos en la placa se puede ver en las figuras adjuntas
a continuacin.

Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-36


Figura 4.41. Vista superior de la placa Spartan-3 FPGA Starter Kit board UG130.



Figura 4.42. Vista inferior de la placa Spartan-3 FPGA Starter Kit board UG130.

Para poder implementar el control del convertidor utilizando esta placa, es de gran
importancia conocer en detalle qu funcin tiene asociado cada uno de los pines de la
FPGA. Esta informacin viene detallada en el manual, siendo de gran relevancia los
puntos:

- Botones.
- Interruptores.
- LEDs.
- Puertos de expansin.
- Oscilador.
- Conectores de configuracin de la FPGA.
- Puerto de comunicaciones serie RS-232.

Otros aspectos que incluye la placa como pueden ser puerto VGA, PS-2, no se
utilizan para este proyecto y por tanto se podrn dejar de lado.



Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-37
Botones:

La siguiente tabla indica los pines que tienen asociados los botones de control.



La funcin de cada uno de ellos es:

BT3: Reset del control de la FPGA.

BT2: Incrementar la tensin de referencia (no se utiliza despus de la configuracin
del puerto de comunicaciones serie).

BT1: Disminuye la tensin de referencia (no se utiliza despus de la configuracin del
puerto de comunicaciones serie).

BT0: Elimina la condicin de reset del bloque de balance energtico. Se utiliza para
facilitar el ajuste de la ganancia proporcional del control interno cuando el convertidor
est parado.


Interruptores:



SW7 SW3: Visualizacin de seales internas de la FPGA a travs del convertidor
R2R y del convertidor D/A serie.

SW7 SW6 SW5 SW4 SW3
Salida R2R.
Modulacin PS Modulacin PD
0 0 0 0 0 Entrada v
dc1
(ADC 1). Entrada v
dc1
(ADC 1).
0 0 0 0 1 Entrada v
dc2
(ADC 3). Entrada v
dc2
(ADC 3).
0 0 0 1 0 Entrada v
dc3
(ADC serie). Entrada v
dc3
(ADC serie).
0 0 0 1 1 Error (complemento A2). Error (complemento A2).
0 0 1 0 0 Portadora PS 1.
0 0 1 0 1 Portadora PS 2.
0 0 1 1 0 Portadora PS 3.
0 0 1 1 1 Ciclo trabajo d. Ciclo trabajo d.
0 1 0 0 0 Seal K
1
. Seal K
1
.
0 1 0 0 1 Seal K
2
. Seal K
2
.
0 1 0 1 0 Seal K
3
. Seal K
3
.
0 1 0 1 1 Seal K. Seal K.
0 1 1 0 0 Referencia v
dc1
*
. Referencia v
dc1
*
.
0 1 1 0 1 Referencia v
dc2
*
. Referencia v
dc2
*
.
0 1 1 1 0 Referencia v
dc3
*
. Referencia v
dc3
*
.
0 1 1 1 1 Error (sin complementar). Error (sin complementar).
1 0 0 0 0 v
dcT
= v
dc1
+ v
dc2
+ v
dc3
v
dcT
= v
dc1
+ v
dc2
+ v
dc3

1 0 0 0 1 Ciclo trabajo d (invertido). Ciclo trabajo d (invertido).
1 0 0 1 0 0. Portadora PD 3#.
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-38
1 0 0 1 1 0. Portadora PD 2#.
1 0 1 0 0 0. Portadora PD 1#.
1 0 1 0 1 0. Portadora PD 1.
1 0 1 1 0 0. Portadora PD 2.
1 0 1 1 1 0. Portadora PD 3.
1 1 0 0 0 Ciclo de trabajo dK
1
. 0.
1 1 0 0 1 Ciclo de trabajo dK
2
. 0.
1 1 0 1 0 Ciclo de trabajo dK
3
. 0.
1 1 0 1 1 0. Triangular rotacin.

Tabla 4.7. Visualizacin de seales internas a travs del convertidor R2R.


SW7 SW6 SW5 SW4 SW3
Salidas D/A serie (1: / 2: / 3: / 4:).
Modulacin PS Modulacin PD
0 0 0 0 0 1: Entrada v
dc1
(ADC 1).
2: Entrada v
dc2
(ADC 3).
3: Entrada v
dc3
(ADC serie).
4: v
dcT
.
1: Entrada v
dc1
(ADC 1).
2: Entrada v
dc2
(ADC 3).
3: Entrada v
dc3
(ADC serie).
4: v
dcT
.
0 0 0 0 1 1: Referencia v
dc1
*
.
2: Referencia v
dc2
*
.
3: Referencia v
dc3
*
.
4: 0.
1: Referencia v
dc1
*
.
2: Referencia v
dc2
*
.
3: Referencia v
dc3
*
.
4: 0.
0 0 0 1 0 1: Portadora PS 1.
2: Portadora PS 2.
3: Portadora PS 3.
4: 0.
1: Portadora PD 1.
2: Portadora PD 2.
3: Portadora PD 3.
4: Triangular rotacin.
0 0 0 1 1 1: Ciclo de trabajo dK
1
.
2: Ciclo de trabajo dK
2
.
3: Ciclo de trabajo dK
3
.
4: Ciclo de trabajo d.
1: 0.
2: 0.
3: 0.
4: 0.
0 0 1 0 0 1: Seal K
1
.
2: Seal K
2
.
3: Seal K
3
.
4: Seal K.
1: Seal K
1
.
2: Seal K
2
.
3: Seal K
3
.
4: Seal K.

Tabla 4.8. Visualizacin de seales internas a travs del convertidor D/A serie.


SW2 SW1: Determina la etapa del inversor sobre la que se est actuando.

SW2 SW1 Topologa.
0 0 Inversor multinivel (etapa 1).
0 1 Inversor multinivel (etapa 2).
1 0 Inversor multinivel (etapa 3).
1 1 No definido.

Tabla 4.9. Seleccin de la etapa a travs de los interruptores.

SW0: Puesta en marcha del conversor.

Adems de estos interruptores se han aadido otros cuatro y se han conectado en los
puertos de expansin. Se puede ver su funcin ms adelante cuando se detalla el
conexionado de los puertos.

Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-39
LEDs:



No se han asociado con ninguna funcin especfica.


Pantalla LED de 7 segmentos:

La pantalla de LED de 7 segmentos se ha utilizado con una doble funcionalidad:

- El cuarto dgito se va incrementando en uno cada segundo indicando que la
FPGA est funcionando correctamente.

- Los tres dgitos restantes se utilizan para monitorizar la tensin de referencia a la
que debe posicionarse la tensin v
dc
de cada etapa (dgitos 2 y 1) y la etapa en
cuestin (dgito 3).











Figura 4.43. Pantalla de LEDs de 7 segmentos.

El control de las pantallas de siete segmentos se realiza mediante cdigo. Para ello es
necesario implementar un bloque que vaya refrescando los dgitos de forma secuencial
y otro que se encargue de la codificacin numrica. Como ms rpido se realice la
actualizacin de los dgitos, menos perceptible ser el parpadeo. En este proyecto se ha
configurado el refresco a una velocidad de 190 Hz.

Los pines de control de la FPGA asociados para esta funcin son los siguientes:


4 3 2 1
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-40



Puertos de expansin:

Los puertos de expansin se dividen en tres grupos de 40 pines. Esta caracterstica es
una de las ms importantes a la hora de haber elegido esta tarjeta, puesto que la
disposicin de los terminales en tres puertos distintos permite la conexin de placas de
control con mucha facilidad.



Figura 4.44. Asignacin de pines en los puertos de expansin.

Cada uno de los puertos de expansin dispone de masa, alimentacin interna de 5V y de
3.3V. Seguidamente se presenta la disposicin y utilizacin de los pines junto con su
correspondencia respecto a los pines de la FPGA.

Puerto de expansin A1:

UTILIDAD PI PI UTILIDAD
GND 1 2 VU(+5)
3.3V 3 4 (8) CLK_OUT
LIBRE 5 (7) 6 (L5) D2
CLK_ADC 7 (T8) 8 (3) D1
LIBRE 9 (R6) 10 (M4) nSYNC
ADC1(0) 11 (T5) 12 (M3) ADC3(0)
ADC1(1) 13 (R5) 14 (L4) ADC3(1)
ADC1(2) 15 (C2) 16 (G3) ADC3(2)
ADC1(3) 17 (C1) 18 (K4) ADC3(3)
ADC1(4) 19 (B1) 20 (P9) ADC3(4)
ADC1(5) 21 (M7) 22 (M10) ADC3(5)
ADC1(6) 23 (F3) 24 (G4) ADC3(6)
ADC1(7) 25 (E3) 26 (F4) ADC3(7)
ADC1(8) 27 (G5) 28 (E4) ADC3(8)
ADC1(9) 29 (H4) 30 (H3) ADC3(9)
ADC1(10) 31 (J3) 32 (J4) ADC3(10)
ADC1(11) 33 (K5) 34 (K3) ADC3(11)
LIBRE 35 (L3) 36 JTAG INS.
JTAG TMS 37 (C13) 38 (C14) JTAG TCK
JTAG TDO 39 40 J7, PIN3


CLK_ADC: Seal de reloj generada en la FPGA y encargada de fijar la frecuencia de
muestreo de los conversores A/D. La frecuencia de trabajo se establece a 6.25 MHz.

ADC1: Entrada de 12 bits procedente del conversor A/D paralelo encargado de
muestrear la tensin v
dc1
.
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-41

ADC3: Entrada de 12 bits procedente del conversor A/D paralelo encargado de
muestrear la tensin v
dc2
.

CLK_OUT, nSYC: Salida de reloj y sincronismo hacia los dos convertidores D/A
serie. El driver de control fija la seal de reloj a 12 MHz.

D1, D2: Salidas de datos y control del convertidor D/A serie.


Puerto de expansin A2:

UTILIDAD PI PI UTILIDAD
GND 1 2 VU(+5)
3.3V 3 4 (E6) R2R(0)
LIBRE 5 (D5) 6 (C5) R2R(1)
CLK_ADC 7 (D6) 8 (C6) R2R(2)
LIBRE 9 (E7) 10 (C7) R2R(3)
ADC2(0) 11 (D7) 12 (C8) R2R(4)
ADC2(1) 13 (D8) 14 (C9) R2R(5)
ADC2(2) 15 (D10) 16 (A3) R2R(6)
ADC2(3) 17 (B4) 18 (A4) R2R(7)
ADC2(4) 19 (B5) 20 (A5) CS_B
ADC2(5) 21 (B6) 22 (B7) R2R(8)
ADC2(6) 23 (A7) 24 (B8) R2R(9)
ADC2(7) 25 (A8) 26 (A9) R2R(10)
ADC2(8) 27 (B10) 28 (A10) R2R(11)
ADC2(9) 29 (B11) 30 (B12) SW11
ADC2(10) 31 (A12) 32 (B13) SW10
ADC2(11) 33 (A13) 34 (B14) SW9
OSCILL.SOCK 35 (D9) 36 (B3) PROG_B
JTAG DONE 37 (R14) 38 (9) INIT_B
JFPGA CCLK 39 (T15) 40 (M11) SW8


CLK_ADC: Seal de reloj generada en la FPGA y encargada de fijar la frecuencia de
muestreo de los conversores A/D. La frecuencia de trabajo se establece a 6.25 MHz.

ADC2: Entrada de 12 bits procedente del conversor A/D paralelo encargado de
muestrear la seal de error.

R2R: Salida paralela de 12 bits que se utiliza, junto con una matriz de resistencias en
configuracin R2R, para monitorizar las seales internas de la FPGA. La mayora de las
seales utilizadas en el cdigo interno son de 12 bits y seleccionamos una u otra en
funcin de la codificacin establecida por SW7 SW3 vista anteriormente.

SW8: Interruptor adicional no utilizado.

SW9: Interruptor adicional no utilizado.

SW10: Interruptor adicional utilizado para fijar el ciclo de rotacin de la modulacin
PD. Si SW10 = 0 la rotacin se realiza en funcin del valor de K, mientras que si
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-42
SW10 = 1 la rotacin se asigna al 50% de ciclos de portadora por etapa. (Solo
disponible cuando el multinivel tiene dos etapas).

SW11: Interruptor adicional utilizado para determinar la modulacin utilizada. Si SW11
= 0 estaremos utilizando la modulacin PS y si SW11 = 1 la modulacin PD. (Solo
disponible cuando el multinivel tiene dos etapas).


Puerto de expansin B1:

UTILIDAD PI PI UTILIDAD
GND 1 2 VU(+5)
3.3V 3 4 (C10) GRID_CYCLE
FPGA RD_WR_B 5 (T3) 6 (E10) LIBRE
DVarG(11) 7 (11) 8 (C11) Logic_out1
DVarG(10) 9 (P10) 10 (D11) CS_SADC
DVarG(9) 11 (R10) 12 (C12) D0_SADC
DVarG(8) 13 (T7) 14 (D12) D1_SADC
DVarG(7) 15 (R7) 16 (E11) CLK_SADC
DVarG(6) 17 (6) 18 (B16) LIBRE
DVarG(5) 19 (M6) 20 (R3) CS_B
DVarG(4) 21 (C15) 22 (C16) LIBRE
DVarG(3) 23 (D15) 24 (D16) U_A_1
DVarG(2) 25 (E15) 26 (E16) U_A_2
DVarG(1) 27 (F15) 28 (G15) U_B_1
DVarG(0) 29 (G16) 30 (H15) U_B_2
CLK_DAC 31 (H16) 32 (J16) U_C_1
LIBRE 33 (K16) 34 (K15) U_C_2
RELAY_ON 35 (L15) 36 (B3) PROG_B
FPGA DONE 37 (R14) 38 (9) INIT
FPGA CCLK 39 (T15) 40 (M11) SW8


CLK_DAC: Seal de reloj generada en la FPGA y encargada de fijar la frecuencia de
carga de los registros internos del multiplicador D/A AD7945. La frecuencia de trabajo
se establece a 50 Hz puesto que el valor de K debe actualizarse a cada periodo de red.

DVarG: Salida paralela de 12 bits que se corresponde con el valor de K. Estos 12 bits
se cargan al multiplicador cuando se produce el flanco de subida en la seal CLK_DAC.

RELAY_O: Salida de control encargada de la activacin y desactivacin del contacto
situado en serie entre el convertidor y la red elctrica.

GRID_CYCLE: Entrada procedente del circuito generador de pulsos para sincronismo
con la red visto en la figura 4.28.

LOGIC_OUT1: Salida destinada a monitorizar seales internas de un solo bit.

U_A_1: Salida de control de los Mosfets de la etapa 1. Esta seal se conecta
directamente a uno de los opto-acopladores y de all al driver. Se encarga del control de
los Mosfets S
1a
y S
2a
.

Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-43
U_A_2: Salida de control de los Mosfets de la etapa 1. Esta seal se conecta
directamente a uno de los opto-acopladores y de all al driver. Se encarga del control de
los Mosfets S
3a
y S
4a
.

U_B_1: Salida de control de los Mosfets de la etapa 1. Esta seal se conecta
directamente a uno de los opto-acopladores y de all al driver. Se encarga del control de
los Mosfets S
1b
y S
2b
.

U_B_2: Salida de control de los Mosfets de la etapa 1. Esta seal se conecta
directamente a uno de los opto-acopladores y de all al driver. Se encarga del control de
los Mosfets S
3b
y S
4b
.

U_C_1: Salida de control de los Mosfets de la etapa 1. Esta seal se conecta
directamente a uno de los opto-acopladores y de all al driver. Se encarga del control de
los Mosfets S
1c
y S
2c
.

U_C_2: Salida de control de los Mosfets de la etapa 1. Esta seal se conecta
directamente a uno de los opto-acopladores y de all al driver. Se encarga del control de
los Mosfets S
3c
y S
4c
.

CLK_SADC, CS_SADC: Salida de reloj y sincronismo hacia los dos convertidores
A/D serie. El reloj utilizado est configurado a 6.25 MHz.

D0_SADC: Entrada de datos serie procedente del convertidor A/D. Utilizada para
muestrear la tensin v
dc3
.

D1_SADC: Entrada de datos serie procedente del convertidor A/D. No utilizada.


Oscilador:

El reloj que se utiliza para la implementacin del cdigo de la FPGA tiene una
frecuencia de 50MHz y procede de un oscilador que va montado en la placa de
evaluacin. Para poder acceder a l, debe definirse el pin T9 como entrada.



Esta seal de reloj se puede dividir y multiplicar con la ayuda de un mdulo llamado
Digital clock master (DCM).


Conectores de configuracin de la FPGA:

Otro aspecto importante para trabajar con la FPGA es la configuracin que se debe
establecer en la tarjeta. Segn el manual del fabricante se dispone de las siguientes
opciones:

Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-44


La configuracin utilizada ha sido la de JTAG. De este modo, permite cargar el cdigo
directamente desde el PC mediante el software Xillinx ISE (Project navigator v10.1)
disponible en el laboratorio.



Figura 4.45. Conexionado del cable de programacin por JTAG.


El cable utilizado para la programacin va conectado al puerto paralelo del PC y al
conector J7 de la tarjeta.

Al no utilizar la memoria Flash se deber configurar tambin el JP1 en la posicin
tercera (Disable) de la siguiente tabla:


Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-45
Puerto de comunicaciones serie RS-232:








Figura 4.46. Comunicacin entre el PC y la placa de evaluacin a travs del COM1.

Con la finalidad de emular el algoritmo de control MPPT, se ha optado por generar un
programa con Excel Visual Basic que manda los valores de v
dc
*
a travs del puerto
serie de comunicaciones COM1. La placa de evaluacin de la FPGA dispone de un
conector RS-232 que est directamente conectado con la FPGA.

















Figura 4.47. Puerto RS-232 en la placa de evaluacin.















Figura 4.48. Pantalla de control para la transmisin de datos entre PC - FPGA.
COM1: RS-232
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-46
En la hoja de Excel aparecen diferentes conceptos:

Voltaje: Es el voltaje al que se debe posicionar la etapa del inversor multinivel.

Valor digital: Es el valor de voltaje debidamente escalado en funcin del cdigo de la
FPGA. Es el valor que se enva a travs del puerto RS-232.

Valor hexa: Es el valor de voltaje enviado a la FPGA y que se debe mostrar en los
indicadores de 7 segmentos.

Los botones de control tienen las siguientes funciones:

Vref_inversor_1: Enva el dato de voltaje e indica que se debe asignar a la etapa 1 del
inversor multinivel.

Vref_inversor_2: Enva el dato de voltaje e indica que se debe asignar a la etapa 2 del
inversor multinivel.

Vref_inversor_3: Enva el dato de voltaje e indica que se debe asignar a la etapa 3 del
inversor multinivel.

Sequence_start: Se van enviando los valores de voltaje y el valor que indica a que
etapa se debe asignar cada uno de ellos. Se puede fijar el intervalo de tiempo entre los
envos de valores para evaluar el funcionamiento del inversor.

Para poder programar este panel de control ha sido necesario insertar el mdulo
Sicl32module.bas. Este fichero contiene los drivers para poder configurar y
comunicar a travs de los puertos del ordenador.

'----------------------------------------------------------------------------------------------------------
' Copyright 1992-2002 Agilent Technologies, Inc. All Rights Reserved.
'
' This file defines constants, record types, and entry points
' for the Agilent Standard Instrument Control Library. You need to
' add this file to each Visual BASIC project that uses the
' Agilent Standard Instrument Control Library.

' Name of SICL DLL
'----------------------------------------------------------------------------------------------------------


La configuracin de transmisin para comunicar con la FPGA es:

Call iserialctrl(intf, I_SERIAL_BAUD, 9600)
Call iserialctrl(intf, I_SERIAL_PARITY, 1) I_serial_par_even.
Call iserialctrl(intf, I_SERIAL_STOP, 1)
Call iserialctrl(intf, I_SERIAL_WIDTH, 8) I_serial_char_8.





Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-47
4.2.9. Configuracin de la masa del sistema.

Un aspecto importante en la implementacin de un inversor multinivel es la
configuracin de las masas. El conexionado necesario para la topologa utilizada se
muestra en el siguiente diagrama:

























Figura 4.49. Configuracin de las masas del sistema.

En primer lugar destacar que es necesario disponer de aislamiento galvnico entre el
sistema inversor y la red elctrica. Este aspecto se debe considerar desde el punto de
vista de la normativa vigente. En la implementacin se ha solucionado incluyendo el
transformador de salida.

El sistema de control es conveniente que est separado del bloque de potencia. De este
modo se evita que cualquier fallo en la red o en las etapas de potencia pueda daar los
circuitos de control. La interconexin entre el control y las etapas de potencia se
produce en dos puntos:

- Sensado de las variables de estado: se han utilizado sensores de efecto hall los
cuales ofrecen aislamiento galvnico.

- Accin de control: se asla la salida de la FPGA de los drivers mediante el uso
de circuitos opto-acopladores.

- Alimentacin: se han utilizado fuentes de alimentacin independientes entre el
circuito de control y las etapas.
C
Solar Array
Simulator
Solar Array
Simulator
Solar Array
Simulator
A
B
C
A
B
ETAPA 3, drivers y
opto-acoplador
ETAPA 2, drivers y
optocoplador
ETAPA 1, drivers y
opto-acoplador
Sistema de control
Sensores con
aislamiento galvnico
(V, I)
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-48
Cada etapa de potencia requiere de una masa independiente. Observando el circuito, se
puede ver con facilidad que la unin entre masas produce el cortocircuito de la salida de
los puentes. As, si por ejemplo se une la masa A con la B, se est realizando un
cortocircuito en la salida de la etapa 2. Las alimentaciones de los drivers y opto-
acopladores deben realizarse con fuentes independientes o con aquellas que aseguren
aislamiento entre salidas.


4.2.10. Montaje hardware completo.

El montaje hardware completo, que se ha desarrollado en el laboratorio, se ilustra en las
siguientes imgenes donde se puede ver con detalle la ubicacin de los diferentes
elementos.































Figura 4.50. Fotografa del inversor multinivel de tres etapas implementado en el laboratorio.





Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-49
4.3. Implementacin del cdigo de control en la FPGA.

La mayor parte del sistema de control se ha implementado en la FPGA mediante cdigo
en VHDL. A continuacin se detallan los diferentes bloques que forman el sistema de
control y se explican los ms significativos para el funcionamiento del inversor
multinivel.


4.3.1. Diagrama de bloques del sistema de control.

En este apartado se presenta la estructura interna de la FPGA mediante diagramas de
bloques. Su diseo se desarrolla en los apartados posteriores.
















Figura 4.51. FPGA: Generacin de seales de reloj y control del rel.




















Figura 4.52. FPGA: Adquisicin de v
dc
y lazo de control externo.
50MHz 12.5MHz
Digital Clock
Master
Cristal 50 MHz
100MHz 200MHz
lock
OR
BTN3
Reset
SW0
Power_on
End_grid_cycle
RELAY_ON

Control
del Rel
AND
Power_on
Reset 12.5MHz
NOT
S
R
E
Detector
ciclo de red
Reset
GRID_CYCLE
12.5MHz
End_grid_cycle
CLK div
2
12.5MHz
6.25MHz
CLK_ADC CLK_SADC
ADC1 [11:0] vdc1 [11:0]
ADC3 [11:0] vdc2 [11:0]
Serial ADC D0_SADC
vdc3 [11:0]
12.5MHz 6.5MHz
CS_SADC
Reset
Balance energtico
etapa 3
vdc3 [11:0]
K3 [11:0]
Reset
12.5MHz End_grid_cycle
vdc3
*
[11:0]
Balance energtico
etapa 1
K1 [11:0]
Reset
12.5MHz End_grid_cycle
vdc1 [11:0]
vdc1
*
[11:0]
K2 [11:0]
Balance energtico
etapa 2
Reset 12.5MHz End_grid_cycle
vdc2 [11:0]
vdc2
*
[11:0]
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-50











Figura 4.53. FPGA: Lazo de control interno.












Figura 4.54. FPGA: Obtencin de la variable de escalado K.












Figura 4.55. FPGA: Bloque modulador y seales de control de los puentes.











Figura 4.56. FPGA: Comunicacin serie con el PC.

25kHz
Control interno
PR
Reset
Error [11:0]
d [11:0]
CLK div
500
12.5MHz Reset
12.5MHz
vdcT [11:0]
ADC2 [11:0]
Error [11:0] C.A.2
Sumador
vdcT [11:0]
vdc2 [11:0] vdc3 [11:0] vdc1 [11:0]
Sumador
K [11:0]
K2 [11:0] K3 [11:0] K1 [11:0]
DVarG [11:0]
Driver AD7945
12.5MHz Reset
End_grid_cycle
CLK_DAC


Modulador
K1 [11:0]
Portadoras
[11:0]
12.5MHz Reset 200MHz
K2 [11:0]
K3 [11:0]
K [11:0]
d [11:0]
Ciclos trabajo K1,2,3d
U_A_1 U_A_2
U_B_1 U_B_2
U_C_1 U_C_2
Comunicacin
serie RS-232
RXD
Reset 50MHz
vdc1
*

[11:4]
vdc2
*

[11:4]
vdc3
*

[11:4]
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-51





























Figura 4.57. FPGA: Visualizacin de seales.




















Data1B [11:4]
Data1A [11:4]
SW3
SW4
SW5
SW6
SW7



Selector de
seal a
monitorizar
Seal interna FPGA
R2R [11:0]
Data2A [11:4]
Data2B [11:4]


Controlador
convertidor D/A
serie Pmod DA1
(8 bits)
50MHz Reset
D1
D2
CLK_OUT
nSYNC




Visualizador
7 segmentos
Reset 12.5MHz
vdc2 [11:0]
vdc3 [11:0]
vdc1 [11:0]
SW1
SW2
A1
A2
A3
A4
CA
CB
CC
CD
CE
CF
CG
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-52
4.3.2. Definicin de los bloques del control.


4.3.2.1. Sistema de generacin de las seales de reloj.

La generacin de la seal de reloj se realiza a partir del cristal de cuarzo de 50 MHz
disponible en la placa de evaluacin. Una vez dentro de la FPGA, se procesa mediante
el bloque de Xilinx llamado Digital Clock Master (DCM):



Figura 4.58. Digital Clock Master (DCM).

Del conjunto de salidas disponibles, solamente se han utilizado las siguientes:

CLK0: Salida de 50 MHz en fase con la entrada (CLKIN) procedente del oscilador.

CLK2X: Salida a frecuencia doble que la entrada, es decir, 100 MHz. Solamente se ha
definido pero finalmente no se ha utilizado.

CLKFX: Salida del sintetizador digital de frecuencias interno. Se utiliza para conseguir
una seal de reloj de 200 MHz utilizada para la generacin de las portadoras. La
frecuencia de esta seal est cercana a los lmites de trabajo de la FPGA (280 MHz) y
ha sido necesario aadir buffers intermedios para restaurarla.

CLKDIV: Salida de reloj a (1/n)CLK0. Siendo el valor de n = 4 y por tanto, el valor
de la frecuencia de 12.5MHz. Se utiliza para la mayora de los bloques de cdigo
generados.

LOCKED: Indica cuando la salida de reloj est estable y enganchada con el PLL
interno. Mientras esta salida no est activa, se mantiene el sistema de control en estado
de reset.

Para que el bloque funcione correctamente se han conectado las entradas PSINCDEC,
PSEN, PSCLK y RST a 0. A la entrada CLKIN se introduce la seal procedente del
oscilador (pin T9) y la salida CLK0 se pasa por un buffer y se realimenta al pin de
entrada CLKFB.



Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-53
4.3.2.2. Detector de ciclo de red.

A partir de la seal de entrada GRID_CYCLE, se implementa una mquina de
estados bloque que genera un pulso de salida para cada ciclo de red.











Figura 4.59. Bloque VHDL para la deteccin de cada ciclo de red.


La seal End_grid_cycle sirve de sincronismo para otros bloques del sistema de
control.


4.3.2.3. Lazo de control externo.

Se disea un fichero VHDL para implementar el modelo del lazo de control externo que
se ha utilizado en las simulaciones con Matlab/Simulink. Este fichero se disea teniendo
en cuenta una sola etapa. De este modo, si se incrementa el nmero de etapas de
entrada, solamente hay que aadir un bloque adicional.









Figura 4.60. Bloque VHDL para el control del lazo externo de cada etapa.


Su funcin viene determinada por el diagrama que se ha visto en la figura 3.20 y que se
reescribe a continuacin:


1
K1
[IC]
-K-
Gamma1
z-Al fa1
z-1
Add
-K-
0.5C1.
-K-
0.5C1
2
vdc1*
1
vdc1


Figura 4.61. Modelo del lazo externo de control para una etapa.
Balance energtico
etapa 1
K1 [11:0]
Reset
12.5MHz End_grid_cycle
vdc1 [11:0]
vdc1
*
[11:0]
Detector
ciclo de red
Reset
GRID_CYCLE
12.5MHz
End_grid_cycle
S0
S1
S2
GRID_CYCLE = 1
GRID_CYCLE = 0
End_grid_cycle = 1
End_grid_cycle = 0
End_grid_cycle = 0
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-54
Si se desarrolla la funcin de transferencia de este conjunto, se obtiene:

2

2
dc sto
v
C
E = ;
2 *

2
dc sto
v
C
E = ; ) ( ) ( ) (
*
z E z E z error E
sto sto sto
=

1

) (
) (
) (

= =
z
z
z error E
z K
z H
sto



La ganancia 0.5C se ajusta en el exterior de la FPGA aprovechando el sensado y
escalado de las variables de estado, tal y como se ha comentado en el apartado 4.2.5.1.

Para implementar esta funcin de transferencia dentro de la FPGA, es necesario
convertirla en forma de ecuacin en diferencias:

1
1
1
1

) (
) (
) (

= =
z
z
z error E
z K
z H
sto


1 1
) ( ) ( ) ( ) (

+ = z z K z z error E z error E z K
sto sto




) 1 ( ) 1 ( ) ( ) ( + = n K n error E n error E n K
sto sto


Por tanto, dentro de la FPGA se debe elevar al cuadrado las entradas v
dc
y v
dc
*
, calcular
el error entre ellas y aplicar la ecuacin en diferencias anterior.

Los retardos en las muestras se consiguen mediante la insercin de registros (bscula
D). Su valor se actualiza a cada ciclo de red mediante la seal End_grid_cycle.


4.3.2.4. Lazo de control interno.

El lazo de control interno que se modela en Matlab/Simulink es:

1
d
error
Kp
Gananci a P
Ki .s
s +(100*pi )^2
2
Fil tro resonante 50Hz
3 vdc1
2
i Lref
1 i L


Figura 4.62. Modelado del lazo de control interno.

El clculo del error se realiza mediante hardware externo a la FPGA y por tanto, el
cdigo debe contemplar el ajuste de la ganancia proporcional, el diseo del filtro
resonante y el bloque divisor.



Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-55











Figura 4.63. Lazo de control interno.

La salida del lazo de control interno es el ciclo de trabajo (d).


4.3.2.4.1. Diseo del filtro resonante.

El filtro resonante se caracteriza por la funcin de transferencia:

2 2

) (
g
i
s
s K
s H
+
=

Para poder realizar la implementacin digital se aplica la transformada bilineal:

2 2

) (
g
i
s
s K
s H
+
=
1
1

2
+

=
z
z
T
s



|

\
|
+ + |

\
|
|

\
|
+

=
2
2
2
2
2 2
2
2
4
2
8

4
2

2

) (
g g g
i i
T
z
T
z
T
T
K z
T
K
z H



Siguiendo la normalizacin que se detalla en [A.10]:

2
2
1
1 0
2
2 0
2 1
2
0
2
2
0

) (
) (
) (

=
+

= =
z b z b b
z a a
b z b z b
a z a
z X
z Y
z H

siendo:

\
|
=
|

\
|
+ = =
= =
2
2
1
2
2
2 0
2 0
2
8
4
2

g
g
i
T
b
T
b b
T
K a a


25kHz
Control interno
PR
Reset
Error [11:0]
d [11:0]
CLK div
500
12.5MHz Reset
12.5MHz
vdcT [11:0]
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-56
Para evitar el uso de decimales en la implementacin del filtro dentro de la FPGA, se
aplica la siguiente normalizacin:
2
_
2
1
_
1
_
0
2
_
2
_
0

) (
) (
) (

= =
z b z b b
z a a
z X
z Y
z H

= = =
= =
1
1
0
0
0
2
_
2
0
0
_
0
b
a
b
a
a
b
a
a

= = =
= =
1
1
0
0
0
2
_
2
0
0
_
0
b
b
b
b
b
b
b
b

= =
) 4 (
) 2 8 (
2 2
2 2
0
1
_
1
T
T
b
b
b
g
g



A partir de esta normalizacin y considerando K
i
= 50000 (valor calculado en el
captulo 3), se obtienen dos valores:

0 4 2 1
4
2

1
2 2
2
2
0
0
= + =
|

\
|
+
= T K T
T
T
K
b
a
T
i g
g
i

kHz f s T 25 40 = =
) 4 (
) 2 8 (
2 2
2 2
0
1
_
1
T
T
b
b
b
g
g

= = 99984 . 1
_
1
= b

La funcin de transferencia normalizada que se obtiene es:

2 1
2
99984 . 1 1
1
) (
) (
) (

= =
z z
z
z X
z Y
z H

Ntese que solamente es necesario ajustar un valor decimal, siendo todos los dems
iguales a la unidad.

Para la implementacin en la FPGA se debe representar esta funcin de transferencia en
forma de ecuacin en diferencias:

) 2 ( ) 1 ( 99984 . 1 ) 2 ( ) ( ) (
99984 . 1 1
1
) (
) (
) (
2 1
2
+ =
+

= =

n y n y n x n x n y
z z
z
z X
z Y
z H

Los retardos en las muestras se obtienen mediante registros (bscula D) que se
actualizan a una frecuencia de 25 kHz.

A continuacin se compara el diagrama de bode del filtro en Laplace y del filtro
discretizado, verificando la correcta ubicacin de la frecuencia de resonancia.


1 99984 . 1
1
) (
) (
) (
2
2
+

= =
z z
z
z X
z Y
z H
2 2
) 50 2 (
50000
) (
) (
) (
+
= =
s
s
s X
s Y
s H



Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-57
-50
0
50
100
150
M
a
g
n
it
u
d
e

(
d
B
)
System: H
Frequency (Hz): 50
Magnitude (dB): 104
10
0
10
1
10
2
10
3
-90
-45
0
45
90
P
h
a
s
e

(
d
e
g
)
Bode Diagram
Frequency (Hz)

-50
0
50
100
150
M
a
g
n
it
u
d
e

(
d
B
)
System: H
Frequency (Hz): 50.3
Magnitude (dB): 118
10
0
10
1
10
2
10
3
-90
-45
0
45
90
P
h
a
s
e

(
d
e
g
)
Bode Diagram
Frequency (Hz)


(a) (b)

Figura 4.64. (a) Bode filtro en dominio de Laplaze, (b) bode filtro en dominio z.

Como mayor resolucin se consigue en el ajuste del parmetro
_
1
b , mejor posicionada en
50Hz est la frecuencia de resonancia.


4.3.2.4.2. Diseo del divisor.

Para la implementacin del bloque divisor se ha elegido una estructura asncrona y
formada por puertas lgicas. La siguiente figura representa la clula bsica (1 bit) que
constituye el divisor.



Figura 4.65. Clula bsica del divisor.

En la figura anterior se pueden observar las siguientes entradas:

n_in: Bit del numerador.

d_in: Bit del denominador.

c_in: Bit del acarreo.

Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-58
p_in: Bit de mayor peso de las seales a dividir. Cambia la topologa del divisor en
funcin de si hay que operar en complemento A2 o no.

Se ha implementado un bloque de nivel superior para poder definir el nmero de bits
que forman las seales a procesar y as, interconectar las celdas bsicas del modo
apropiado.

Para mostrar el clculo que se realiza con este circuito se detalla un ejemplo a partir de
una seal complementada A2:










Ecuacin de conversin
( )

< + =
=
0 1 2 ) ( 128 ) (
1 0 128 ) ( ) (
x(t) - n x n y B
x(t) n x n y A










La siguiente tabla muestra algunos ejemplos numricos. Se han obtenido mediante la
simulacin del bloque implementado utilizando el programa Modelsim SE 6.4A:

umerador Denominador Salida divisor
Valor real Conversin Valor real Conversin Resultado Re-conversin.
0.0234 3 0.1172 15 25 0.1953
0.0234 3 0.8828 113 3 0.0234
0.5234 67 0.8828 113 75 0.5859
0.5859 75 0.9296 119 80 0.625
0.9296 119 0.9296 119 128 1
-0.0703 247 0.9296 119 246 -0.078

Tabla 4.10. Resultado de la simulacin del bloque divisor con Modelsim SE 6.4A.


Observar que el resultado obtenido en la re-conversin, es el mismo que se obtiene
dividiendo los valores reales de numerador y denominador. El divisor funciona
correctamente.



Muestreo

y C.A.2
256
0
128
y(n)
t
T
B
A
1
-1
x(t)
t
T
A
B
y
1
(n)
y
2
(n)
Resultado
Divisor
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-59
4.3.2.5. Modulacin.

Este bloque genera las seales de control de los Mosfets que forman las diferentes
etapas a partir de la comparacin entre el ciclo de trabajo y las portadoras.











Figura 4.66. Bloque modulador.

A parte de las seales de control, permite enviar las portadoras y los ciclos de trabajo
escalados a los conversores D/A.


4.3.2.5.1. Modulacin PS-PWM.

En el caso de la modulacin PS-PWM, se realiza el escalado del ciclo de trabajo tal y
como se indica a continuacin:

T
K
K
d d
1
1
=
T
K
K
d d
2
2
=
T
K
K
d d
3
3
=

Para ello, se han utilizado tres divisores idnticos al que se ha presentado anteriormente.
La salida de cada divisor se desplaza para tener el ciclo de trabajo centrado entre [0,
4096] (seal 12 bits) y se compara con las portadoras.

La generacin de las portadoras se realiza mediante la implementacin de contadores
descontadores que se actualizan mediante la seal de reloj de 200 MHz. Con tres etapas,
se debe aadir un desfase entre portadoras de 120.



Figura 4.67. Portadoras para la modulacin PS desfasadas 120.


Modulador
K1 [11:0]
Portadoras
[11:0]
12.5MHz Reset 200MHz
K2 [11:0]
K3 [11:0]
K [11:0]
d [11:0]
Ciclos trabajo K1,2,3d
U_A_1 U_A_2
U_B_1 U_B_2
U_C_1 U_C_2
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-60
Las portadoras se definen dentro del intervalo de [0, 4096] (12 bits), teniendo en cuenta
que los ciclos de trabajo de cada etapa quedan acotados entre [-1, 1] por la portadora.
Luego, el ciclo de trabajo total (d), tiene un valor igual a la suma de ciclos de trabajo
individuales. Adems, hay que dejar un margen de seguridad para evitar la saturacin de
la FPGA durante los transitorios.

























Figura 4.68. Determinacin del margen de trabajo de las portadoras.


La frecuencia de las portadoras se calcula a partir de la frmula:

Hz
T div A
f
MHz clk clk portadora
portadora
19531
10 5 5 1024 2
1
2
1
9
200
= = =



donde:

A
portadora
= Amplitud de la portadora en niveles = 1024.
div
clk
= factor de escalado de la seal de reloj = 5.
T
clk200MHz
= frecuencia del reloj = 5 ns.







4096
0
3072
512
512
d
mx
4096
0
1024
1536
1536
d
1
4096
0
1024
1536
1536
d
2
4096
0
1024
1536
1536
d
2
Margen de seguridad
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-61
4.3.2.5.2. Modulacin PD-PWM.

En la modulacin PD-PWM se calcula la asignacin de tiempos en las portadoras, se
generan las portadoras desplazadas en tensin y se comparan con el ciclo de trabajo.

Para calcular la asignacin de tiempos se debe calcular:

T
rot
K
K
T T
1
1
=
T
rot
K
K
T T
2
2
=
T
rot
K
K
T T
3
3
=

La divisin se realiza utilizando el bloque divisor visto anteriormente, y se compara la
salida con una rampa de periodo T
rot
:







Figura 4.69. Clculo de la asignacin de tiempos de rotacin.


El tiempo T
rot
se disea para que sea igual a un nmero entero de ciclos de portadora,
considerndose en este trabajo igual a 42 ciclos. Adems, solamente se puede rotar la
seal cuando se termina el ciclo de portadora.

Las portadoras se definen dentro del intervalo de [0, 4096] (12 bits), teniendo en cuenta
que el ciclo de trabajo queda acotado entre [-1, 1] por las portadoras. Adems, hay que
dejar un margen de seguridad para evitar la saturacin de la FPGA durante los
transitorios.

















Figura 4.70. Determinacin del margen de trabajo de las portadoras.


Margen de seguridad
4096
0
3072
512
512
d
mx
4096
0
Portadoras

512
512
512
512
512
512
512
512
Port 1
Port 1#
Port 2#
Port 3#
Port 2
Port 3
T
K
K
1
T
K
K K
2 1
+
t
T
1
T
2
T
3
4096
0
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-62
La generacin de las portadoras se realiza mediante la implementacin de contadores
descontadores que se actualizan mediante la seal de reloj de 200 MHz.

La frecuencia de las portadoras se calcula a partir de la frmula:

Hz
T div A
f
MHz clk clk portadora
portadora
19531
10 5 10 512 2
1
2
1
9
200
= = =



donde:

A
portadora
= Amplitud de la portadora en niveles = 512.
div
clk
= factor de escalado de la seal de reloj = 10.
T
clk200MHz
= frecuencia del reloj = 5 ns.

La generacin de las portadoras en la FPGA se muestra en la siguiente figura. En ella se
representan las portadoras 1#, 2# y 3#, junto con la triangular de rotacin ajustada a 42
ciclos de portadora.



Figura 4.71. Muestra de tres de las portadoras y de la triangular de rotacin.



4.3.2.6. Control de la utilidad para la conexin a red.

A partir de la problemtica que se detalla en el apartado 4.2.5, se genera un bloque
interno en la FPGA que tiene la misin de conectar el rel justo en el instante en que
v
g
(t) = 0.









Figura 4.72. Control del rel.

End_grid_cycle
RELAY_ON

Control
del Rel
AND
Power_on
Reset 12.5MHz
NOT
S
R
E
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-63
Se implementa una bloque VHDL que detecta la activacin del interruptor de
Power_on y lo sincroniza con la seal End_grid_cycle. Pasado un intervalo de
tiempo fijo, se genera la seal de activacin del rel que, juntamente con el retardo de
conexin mecnico de 5 ms, har que este se cierre justo en el siguiente paso por cero.

Durante este intervalo de espera, las salidas de control ya se activan. De este modo,
cuando se cierra el rel, la red no ve un cortocircuito, sino que ve los Mosfets en
conmutacin.

La secuencia de arranque del sistema, asegurando que siempre arranca en v
g
(t) = 0, se
representa en la siguiente figura:






















Figura 4.73. Secuencia de control del rel para eliminar picos de corriente en arranque.



4.3.2.7. Ajuste de la tensin de referencia.

El ajuste de la tensin de referencia se ha realizado mediante el envo del valor a travs
del puerto de comunicaciones COM1. Para ello se ha implementado el protocolo de
comunicaciones RS-232 en un nico sentido PC FPGA.

La FPGA recibe el dato procedente del PC a travs de la entrada T13 y se procesa
mediante el mdulo Rs232RefComp.vhd. Este mdulo se ha incluido dentro de uno
de nivel superior que permite asignar el valor recibido a la etapa del inversor multinivel
pertinente.

Orden Rel
ON/OFF
v
g
(t) v
H
(t)
i
L
(t)
Inv. ON
Orden Rel
Cierre contacto
(5ms) v
g
(t) = 0
Pico corriente 0A
Activacin de la
conmutacin de
los Mosfets
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-64
Cada vez que se enva un nuevo valor de v
dc
*
, se estn enviando dos bytes, el primero
indica a que etapa corresponde el valor, y el segundo es el valor de la tensin de
referencia.

La recepcin a travs del puerto RS-232 sigue el siguiente diagrama de estados:


Figura 4.74. Maquina de estados para la recepcin de datos a travs de RS-232.


Los valores de tensin que se asignan a cada etapa quedan almacenados en un registro
hasta que se produce una nueva recepcin.

Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-65
4.4. Presupuesto del montaje.

A continuacin se detallan los precios de los componentes necesarios para la
implementacin del inversor multinivel.


Descripcin Precio () Cantidad Importe ()
Spartan-3 Starter Board 109.00 1 109.00
Pmod-DA1 Cuatro 8-bit D/A 19.99 1 19.99
Pmod-AD1 Dos 12-bit A/D 24.99 1 24.99
Integrado AD9225 29.73 3 89.19
Integrado AD7945 6.41 1 6.41
Integrado TL072 0.38 6 2.28
Integrado TL074 0.52 2 1.04
Integrado LM311 0.59 1 0.59
Integrado SN74HC125N 0.25 3 0.75
Integrado SN74HC14 0.65 1 0.65
Integrado HCPL-263 6.29 3 18.87
Integrado IR21084 3.89 6 23.34
Integrado SN74LS04 0.32 3 0.96
Mosfet IRFP-240 3.62 12 43.44
Regulador LM7805 0.36 4 1.44
Regulador LM7905 0.57 1 0.57
Sensor de corriente LTS15NP 17.05 1 17.05
Sensor de tensin LV-25P 49.48 4 197.92
Transistor BC547 0.05 1 0.05
Rel OMRON G5LB-L4 10A 1.67 1 1.67
Transformador Tecnotrafo TE 130VA 45 1 45
Magneto-trmico 40A 29.97 1 29.97
Inductor 950H 4.5 1 4.5
Condensador electroltico 2200F / 200V 8.75 3 26.25
Condensador electroltico 2200F / 25V 1.94 5 9.7
Condensador electroltico 100F / 25V 1.16 5 5.8
Condensador electroltico 47F / 50V 0.59 6 3.54
Condensador electroltico 10F / 25V 0.42 16 6.72
Condensador tntalo 10F / 35V 1.04 6 6.24
Condensador tntalo 4.7F / 35V 0.83 3 2.49
Condensador polister 100nF / 100V 0.092 6 0.55
Condensador polister 220nF / 100V 0.32 2 0.64
Condensador X7R 100nF / 50V 0.2 69 13.80
Potencimetro multivuelta 100K 2.06 3 6.18
Potencimetro multivuelta 25K 1.31 1 1.31
Potencimetro multivuelta 20K 1.31 7 9.17
Potencimetro multivuelta 10K 1.31 6 7.86
Potencimetro multivuelta 500 2.62 2 5.24
Potencimetro multivuelta 200 2.04 3 6.12
Resistencias 10K / 5W 0.47 2 0.94
Capitulo 4 Implementacin del Inversor Multinivel y del Sistema de Control.

4-66
Descripcin Precio () Cantidad Importe ()
Resistencias 22K / 5W 0.47 2 0.94
Resistencias W 0.059 15 0.87
Resistencias W 0.042 28 1.18
Resistencias chip 1608 0.02 70 1.40
Diodo BAV21 0.052 6 0.31
Bloque terminal, 4 vas. 2.9 7 20.30
Bloque terminal, 4 vas 12. 3.56 6 21.36
Bloque terminal, PCB, 5.08mm, 2 vas 0.48 14 6.72
Bloque terminal, PCB, 5.08mm, 3 vas 0.75 8 6.00
Zcalo DIL 8 vas. 0.67 10 6.70
Zcalo DIL 14 vas. 0.94 15 14.10
Zcalo DIL 20 vas. 1.08 1 1.08
Zcalo DIL 28 vas. 1.64 3 4.92
Adaptador, SOIC a DIL, 28 vas. 9.83 3 29.49
Interruptor DIP de 6 vas 0.86 1 0.86
Macho, 2 filas, acodado, 20 vas 0.87 6 5.22
Macho, 2.54mm, 36 vas 1.09 3 3.27
Macho, hembra, 2.54mm, 36 vas 2.15 3 6.45
Heat Sink para TO-247 2.5 12 30
Almohadilla protoboard, 100x160mm. 9.27 5 46.35
Cableados 40.00
Materiales varios (tornillos, anclajes, ) 30.00
Soportes de madera 15.00
(*)
Precios segn Farnell Espaa y RS Amidata en fecha de la compra del material (ao 2009).


Importe: 1044.74
16% I.V.A.: 167.16
Portes: 9.00
Importe total: 1220.90



Finalmente, el precio del material necesario para la implementacin del inversor
multinivel es de 1223 . No se incluye en el presupuesto el material necesario para
hacer pruebas preliminares ni el coste de los equipos de instrumentacin utilizados para
tomar las medidas (osciloscopio, fuentes de alimentacin, emuladores de panel
fotovoltaico).




Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-1
CAPTULO 5











Resultados Experimentales del
Inversor Multinivel.

















Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-2


































Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-3
Resumen

Este captulo tiene la finalidad de validar el diseo descrito en captulos anteriores
mediante la obtencin de los resultados experimentales.

En primer lugar, se definen las curvas de los conjuntos fotovoltaicos y se calculan los
parmetros del control. Para ello, se tienen en cuenta las limitaciones impuestas por
los equipos de que se dispone en el laboratorio.

Una vez definido el entorno de trabajo y su configuracin, se presentan los resultados
que se han obtenido en el laboratorio. Siguiendo la estructura del captulo 3, se
presentan las formas de onda comparando la modulacin PS-PWM con la PD-PWM.

Se valida el funcionamiento en arranque, en estado estacionario y la respuesta frente a
variaciones de las condiciones de trabajo.

Se verifican los puntos ms relevantes:

- Extraccin de la mxima energa posible de los paneles fotovoltaicos haciendo
que el inversor trabaje en el punto de mxima potencia de la curva del panel.

- Transferencia de la energa a la red elctrica mediante inyeccin de corriente
con factor de potencia unitario.

- Funcionamiento de los inversores con distintas condiciones de irradiancia y
puntos de trabajo diferentes al de mxima potencia.























Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-4
5.1. Configuracin del inversor multinivel.


5.1.1. Definicin de los conjuntos fotovoltaicos.

Para validar el funcionamiento del inversor multinivel implementado en el laboratorio,
es necesario definir las curvas de los conjuntos fotovoltaicos. Los valores de estas
curvas son los que se van a transferir a los emuladores.

0 5 10 15 20 25 30 35
0
0.5
1
1.5
2
2.5
3


X: 25.2
Y: 2.32
I
P
V

[
A
]
VPV [V]
X: 24.7
Y: 1.865
X: 24
Y: 1.159
Irr 1000
Irr 800
Irr 500
0 5 10 15 20 25 30 35
0
10
20
30
40
50
60
70


X: 25.2
Y: 58.48
P
o
t
e
n
c
i
a

[
W
]
VPV [V]
X: 24.7
Y: 46.06
X: 24
Y: 27.82
Irr 1000
Irr 800
Irr 500


Figura 5.1. Variacin del punto de mxima potencia en funcin de la irradiancia.


Quedando la tabla de resumen de valores como:

Irradiancia [W/m
2
] T [K] V
oc
[V] I
sc
[A] V
mp
[V] I
mp
[A] P
mp
[W]
1000

300 30 2.5 25.2 2.32 58.46
800 300 29.5 2 24.7 1.86 45.94
500 300 28.8 1.25 24 1.16 27.84

Tabla 5.1. Valores significativos de las curvas de los conjuntos fotovoltaicos.

Teniendo en cuenta que los conjuntos fotovoltaicos de las tres etapas son iguales, la
potencia mxima que se puede transferir a la red es:

W P P
m W mp
mpT
38 . 175 3
) / 1000 (
2
= =


5.1.2. Definicin de los parmetros de los controladores.

Siguiendo la metodologa de diseo que se ha planteado en 3.2.1, se debe fijar el
margen de trabajo de cada etapa y asegurar la estabilidad del sistema mediante el diseo
de los parmetros de los controladores.

Considerando los tres conjuntos de paneles idnticos, la tensin mnima que se puede
aplicar en la entrada de cada etapa es:

( ) 0 60 66 . 46 30 2 2 33 / 1000 2
2
min
< = = = m W V A v
oc g dc
0
min
=
dc
v
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-5
Recordar que la tensin en el primario del transformador es de 33V
RMS
.

A partir del resultado obtenido, puede parecer que se han dimensionado los conjuntos
fotovoltaicos a un valor demasiado elevado, pues con dos etapas ya se alcanza una
tensin superior a la de v
g
. Sin embargo, hay que tener en cuenta que se quiere trabajar
en el punto de mxima potencia, que habr rizado sobre la tensin de los condensadores
y que la tensin en el primario del transformador aumentar ligeramente en funcin de
la corriente inyectada. Este ltimo aspecto se debe a la resistencia parsita del devanado
del transformador.

A partir de la ecuacin anterior, el margen de estabilidad debera abarcar toda la curva
de funcionamiento de los paneles. Sin embargo, la condicin de diseo del controlador
(m < 1) limita la zona de trabajo.















Figura 5.2. Definicin del margen de trabajo en funcin de m.

Una vez evaluada la variacin del parmetro m en funcin de la tensin v
pv
, se observa
que la tensin mnima disponible para que el controlador sea estable es de 22.35 V.

Los parmetros del controlador en funcin del margen mximo de m son:

Condicin 1
1 <
i
m
Condicin 2
1 <
i
; 0 <
i

Condicin 3
) 1 (
) 2 ( 4
2
i g g
i
i
T A
m

>
Condicin 4
g g i
i
i
T A
m

2
2

<

Tabla 5.2. Condiciones de diseo.

Se toma el valor de
i
= 0.875 para minimizar el efecto desestabilizador del integrador y
luego se calcula el valor de
i
para m
i
= 0.9 asegurando as la condicin de m
i
< 1.

0 5 10 15 20 25 30 35
-20
-15
-10
-5
0
5
10
m

[
J
s
-
1
]
VPV [V]


X: 22.35
Y: 1.001
1000W/m
2
& 27C
Margen de
funcionamiento

1

=
z
z
G
i
i Ci

Captulo 5 __ Resultados Experimentales del Inversor Multinivel.



5-6
g g i
i
i
i g g
i
T A
m
T A
m

2
) 1 (
) 2 ( 4
2 2

< <
+



Los valores a tener en cuenta para el clculo de la inecuacin son:

V V A
g
66 . 46 2 33 = = ;
1
9 . 0

= s J m
i
; 875 . 0 =
i
; sec 02 . 0 =
g
T ;

Como resultado se obtiene:

04724 . 0 05389 . 0 < <
i
Valor intermedio: 05 . 0 =
i


De todo el rango de valores de que aseguran la estabilidad en el margen de
funcionamiento, nos quedamos con el valor intermedio.

Para validar el margen de estabilidad, se traza el lugar geomtrico de las races en
funcin del parmetro m.

-1 -0.8 -0.6 -0.4 -0.2 0 0.2 0.4 0.6 0.8 1
-1
-0.8
-0.6
-0.4
-0.2
0
0.2
0.4
0.6
0.8
1
0.3/T
0.4/T
0.5/T
0.6/T
0.7/T
0.8/T
0.9/T
/T
0.1
0.2
0.3
0.4
0.5
0.6
0.7
0.8
0.9
0.1/T
0.2/T
0.3/T
0.4/T
0.5/T
0.6/T
0.7/T
0.8/T
0.9/T
/T
0.1/T
0.2/T
System: sys
Gain: 0.953
Pole: -0.447 + 0.896i
Damping: -0.000653
Overshoot (%): 100
Frequency (rad/sec): 102
System: sys
Gain: 0.655
Pole: 0.338
Damping: 1
Overshoot (%): 0
Frequency (rad/sec): 54.2
Root Locus
Real Axis
I
m
a
g
in
a
r
y

A
x
i
s


Figura 5.3. Lugar geomtrico de las races sobre el plano z.

A partir de la figura se concluye que, la tensin mnima que se puede alcanzar en la
entrada de las etapas del inversor multinivel es de 22.59V (m = 0.953) para una
irradiancia de 1000 W/m
2
.

Hay que tener en cuenta que para irradiancias diferentes, el valor de tensin mnima en
la entrada vara, pues la relacin entre el parmetro m y la tensin v
pv
vara con la
irradiancia. Los valores mnimos de v
pv
para los que se puede asegurar la estabilidad
son:
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-7

5 . 14 953 . 0 : / 500
92 . 20 953 . 0 : / 800
59 . 22 953 . 0 : / 1000
min
2
min
2
min
2

= =
= =
= =
V v m m W
V v m m W
V v m m W
dc
dc
dc


Se puede generar una tabla que relacione el margen de m con el margen de tensin para
las diferentes irradiancias, al mismo tiempo que se indica el tipo de respuesta transitoria
esperada.

Respuesta en funcin de m. 1000 W/m
2
800 W/m
2
500 W/m
2

] 655 . 0 , 06 . 17 [ = m Sobreamortiguado. 30V 23.7V 29.5V 22.8V 28.8V 19.6V
] 953 . 0 , 655 . 0 ( = m Subamortiguado. 23.7V 22.6V 22.8V 20.9V 19.6V 14.5V
) , 953 . 0 ( = m Inestable. 22.6V 0V 20.9V 0V 14.5V 0V

Tabla 5.3. Respuesta transitoria en funcin de m y v
dc
.


Una vez diseado el controlador del lazo externo, y teniendo en cuenta que el control
del lazo interno es el mismo que se ha desarrollado en 3.1.2, se pueden resumir todos
los valores de los parmetros:

Tensin de red: ( ) ( ) . 02 . 0 ; 50 2 sin 2 33 sin seg T t t A v
g g g g
= = =

Elementos reactivos: . 2200 ; 950 F C H L = =

Control lazo externo: . 05 0 ; 875 0 . - . = =

Control lazo interno: 50000 ; 140 = =
i p
K K

Frecuencia portadoras PS y PD: Hz f
portadora
19531 =


Habiendo fijados los valores de los parmetros se prosigue con la evaluacin de los
resultados obtenidos en el laboratorio. Su presentacin se realiza siguiendo la misma
estructura que en el captulo 3:













Resultados experimentales
PS-PWM
Resultados experimentales
PD-PWM
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-8
5.2. Resultados experimentales.


En los resultados experimentales que se muestran a continuacin se compara la
modulacin PS-PWM con la modulacin PD-PWM. Los resultados de laboratorio en
algunos casos no coinciden exactamente con los que se esperan a partir de las
simulaciones, pues en la simulacin no se han tenido en cuenta las prdidas, tolerancias
y otros factores que pueden modificar ligeramente el comportamiento del sistema
inversor.


5.2.1. Arranque del sistema.

El arranque del sistema se realiza al activar el interruptor SW0 (ver apartado 4.2.7).
Para ello es necesario que se cumpla la siguiente condicin inicial:

- Emuladores panel fotovoltaico en funcionamiento.
- Fuentes de alimentacin de los circuitos activadas.
- Condensadores de entrada cargados a V
oc
.
- Rel abierto.
- Inversor conectado a la red (primario del transformador).
- Generacin de las portadoras en la FPGA activada.

Se fijan las curvas de los conjuntos fotovoltaicos considerando una irradiancia uniforme
de 1000 W/m
2
y las tensiones de referencia para que se trabajar en el punto de mxima
potencia:

2
3 2 1
/ 1000 m W Irrad Irrad Irrad = = =
V v v v
dc dc dc
25
*
3
*
2
*
1
= = =

La evolucin de la corriente y las tensiones que se obtiene es la siguiente:














Figura 5.4. Evolucin de la corriente (i
L
) y las tensiones (v
dci
) en arranque.

Las tensiones de entrada de las tres etapas se posicionan en 25V tal y como determina la
referencia fijada. Para este valor se obtiene una respuesta transitoria sobreamortiguada.

vdc1
vdc2
vdc3
iL
vdc1
vdc2
vdc3
iL
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-9
La envolvente de la corriente sigue la forma fijada por la variable de escalado (K):













Figura 5.5. Evolucin de la corriente (i
L
) y de la variable de escalado (K).

El tiempo que tarda la corriente en alcanzar el rgimen permanente es el mismo en
ambas modulaciones y de aproximadamente 2 segundos.














Figura 5.6. Evolucin de la corriente (i
L
) y de la variable de escalado (K).

El valor de K, que se obtiene teniendo en cuenta el escalado de la conversin D/A, es de
0.116. El valor terico esperado es:

161 . 0
66 . 46
38 . 175 2
2
2 2
) / 1000 (
2
= = =
g
m W mp
A
P
K

La diferencia entre el valor terico y el obtenido en el laboratorio es debida a dos
motivos:

- Las prdidas del inversor multinivel.
- La resistencia interna del transformador que produce un incremento de la tensin
A
g
a medida que la corriente inyectada es mayor.



(*)
La diferencia que se observa en el escalado de la variable K entre la figura 5.5 y la figura 5.6, es debida a que se ha tomado la
medida utilizando un convertidor D/A diferente. En el primero la escala de salida es de 3V y en el segundo caso es sobre 5V.
K


iL
K


iL
Salida R2R
(*)
Salida R2R
(*)

K1
K2
K3
K
K1
K2
K3
K
Salida DAC serie
(*)
Salida DAC serie
(*)
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-10
Teniendo en cuenta estos efectos, se puede modificar la frmula anterior como:

1159 . 0
) 2 85 . 35 (
85 . 0 38 . 175 2
2
2 2
) / 1000 (
2
= = =
g
m W mp
A
P
K



Que es exactamente el valor obtenido en el laboratorio.

Mencionar que el valor de K se corresponde con la suma de K
1
, K
2
y K
3
.

El valor de la tensin en el primario del transformador se ha medido en rgimen
estacionario, tal y como se muestra en el siguiente apartado.

La actualizacin de la variable K solamente se puede realizar una vez en cada periodo
de red. De este modo se garantiza que la forma de onda de la corriente es sinusoidal. La
siguiente figura muestra el detalle de la evolucin de K y verifica el correcto
funcionamiento.


















Figura 5.7. Actualizacin del valor de la variable K en cada ciclo de red (0.02 seg).















K1
K2
K3
K
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-11
5.2.2. Funcionamiento en rgimen estacionario.

Pasados dos segundos desde el momento de arranque, las variables del sistema se
estabilizan y se mantienen constantes mientas no se produzcan variaciones externas.

Las condiciones que se han fijado para realizar las mediciones son:

Irradiancia [W/m
2
] Tensin de referencia [V]
Irrad
1
Irrad
2
Irrad
3
v
dc1
*
v
dc2
*
v
dc3
*

1000 1000 1000 25 25 25

Tabla 5.4. Condiciones de trabajo.

Una de las condiciones imprescindibles para validar el funcionamiento es que la
corriente inyectada (i
L
) debe estar en fase con la tensin de red (v
g
). Esta condicin se
valida en la siguiente figura.














Figura 5.8. Desfase entre la tensin de red (v
g
) y la corriente de salida (i
L
).

La corriente est en fase con la tensin de red gracias a la accin proporcional
resonante.

Al mismo tiempo que se muestra el desfase entre ambas formas de onda, se aprovecha
para medir su amplitud:

Modulacin PS:

Medida Potencia [W] Rendimiento [%]
P. salida
i
LRMS
[A] 3.908
141.23
85.47
v
gRMS
[V] 36.14
P. entrada
i
pv1
[A] 2.197
165.24
i
pv2
[A] 2.138
i
pv3
[A] 2.165
v
pv1
[V] 25.14
v
pv2
[V] 25.32
v
pv3
[V] 25.81

Tabla 5.5. Clculo del rendimiento del inversor multinivel (modulacin PS-PWM).


vg
iL


vg
iL
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-12
Modulacin PD:

Medida Potencia [W] Rendimiento [%]
P. salida
i
LRMS
[A] 3.974
142.46
86.18
v
gRMS
[V] 35.85
P. entrada
i
pv1
[A] 2.13
165.29
i
pv2
[A] 2.19
i
pv3
[A] 2.17
v
pv1
[V] 25.83
v
pv2
[V] 24.95
v
pv3
[V] 25.64

Tabla 5.6. Clculo del rendimiento del inversor multinivel (modulacin PD-PWM).

La medida de las tensiones y corrientes de entrada se realiza tomando la medida
instantnea de la salida del emulador de panel fotovoltaico. Se efectan 20 capturas
consecutivas a travs del puerto GPIB y se calcula la mediana.

Las tensiones de entrada de las diferentes etapas deben estar situadas al valor
determinado por la tensin de salida de cada MPPT. En este caso todas las tensiones de
referencia son iguales y de valor 25V.













Figura 5.9. Tensin en los condensadores de entrada (v
dc1,2,3
) y corriente de salida (i
L
).
















Figura 5.10. Ampliacin de la tensin en los condensadores de entrada (v
dc1,2,3
).

vdc1
vdc2
vdc3
vdc1
vdc2
vdc3
vdc1 vdc2 vdc3 iL vdc1 vdc2 vdc3 iL
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-13
Las tensiones de entrada presentan un rizado sinusoidal de frecuencia 100 Hz. En el
caso de la modulacin PD-PWM, la forma de onda sinusoidal no es perfecta, y aparece
una ligera deformacin debida a la rotacin de portadoras.

En el apartado anterior se ha visto que las variables de escalado K
1
, K
2
y K
3
, para
condiciones iguales en todas las etapas, convergen a un mismo valor. De ser as, se
espera que los ciclos de trabajo individuales de cada etapa (PS) y los tiempos de
asignacin de portadoras (PD) sean iguales. Este aspecto se verifica en la siguiente
figura.














Figura 5.11. Ciclos de trabajo (PS) y asignacin temporal de portadoras (PD).

Modulacin PS:

Mediante el conversor D/A serie se monitoriza la relacin entre el ciclo de trabajo d, los
ciclos de trabajo individuales d
1
, d
2
y d
3
, y las portadoras. Se obtiene:

= + =
= + =
= + =
= + =

] ,725 0 , 275 . 4 [ 5 . 2 55 . 3
] .905 1 , 095 . 3 [ 5 . 2 19 . 1
] .910 1 , 090 . 3 [ 5 . 2 18 . 1
] 865 1 , 135 . 3 [ 5 . 2 27 . 1
3
2
1
V V V V d
V V V V d
V V V V d
V . V V V d
RMS pp
RMS pp
RMS pp
RMS pp


Modulacin PD:














Figura 5.12. Asignacin temporal de portadoras (PD).
d1
d2
d3
d
Prot1
Prot2
Prot3
iL
rot
T
1
T
2
T
3
T
Portadoras

1.31V
pp
+ 2.5V
RMS
(offset)

[3.155V, 1.845V]
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-14
El tiempo de rotacin T
rot
equivale a 42 ciclos de portadora (mltiplo de 3 etapas).
Cuando las condiciones de las tres etapas son iguales, los ciclos de portadora se reparten
equitativamente. En la figura anterior se observa que se estn asignando 14 ciclos de
portadora para cada etapa.


Siguiendo con la validacin del prototipo, se presenta la salida del inversor multinivel y
la corriente inyectada superpuesta, observndose que estn en fase.













Figura 5.13. Tensin multinivel (v
HT
) y corriente de salida (i
L
).

En ambos casos se obtienen los 7 niveles de tensin quedando totalmente definida la
accin multinivel.

Si se compara con detalle la modulacin PS con la PD, se observa que en el segundo
caso, la forma de onda no es totalmente uniforme. Aparecen pequeas ondulaciones
debidas a la rotacin.












Figura 5.14. Detalle comparativo de la tensin multinivel (v
HT
) entre PS y PD.

En cada uno de los niveles se observa el rizado de 100Hz presente en las tensiones de
entrada (v
dc1,2,3
).





vHT
iL
vHT
iL
vHT
iL
vHT
iL
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-15
En este punto, se puede resaltar un caso particular que se da en el funcionamiento del
inversor multinivel de dos etapas de entrada. La tensin v
HT
tiene un comportamiento
distinto entre modulacin PS y PD:














Figura 5.15. Detalle comparativo de la tensin multinivel (v
HT
) entre PS y PD con dos etapas de
entrada.

En la modulacin PD se observan los 5 niveles, pero en el caso de PS solamente se
observan tres. Cuando se dispone de dos etapas, las portadoras en PS estn desfasadas
180 y las acciones de control se superponen (siempre y cuando las dos etapas sean
iguales y estn en las mismas condiciones de trabajo).

(*)
Condiciones de trabajo para dos etapas:
Paneles 1000W/m
2
; Voc = 45V; Isc = 2.5A; Vmp = 37.8V; Imp = 2.32A
Punto de trabajo en mxima potencia: vdc1
*
= vdc2
*
= 37.8V.


Una vez descrito el funcionamiento general del inversor multinivel en rgimen
estacionario, hay que analizar la forma de onda de la corriente inyectada. Para ello se
representa el rizado de conmutacin y el espectro.














Figura 5.16. Corriente de salida (i
L
) y ampliacin para observar el rizado de conmutacin.

Prcticamente no se observa rizado de conmutacin. Es necesario ampliar la zona de
inters para poder apreciar las conmutaciones.

vHT
iL
vHT
iL
iL iL
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-16


Figura 5.17. Ampliacin de la corriente de salida (i
L
) para observar el rizado de conmutacin.

Segn la modulacin utilizada se consigue un rizado de conmutacin distinto:

portadora rizado L
portadora rizado L
f Hz f mA PWM PD i
f Hz f mA PWM PS i
= =
= =
19592 77 . 596 ) (
3 58493 5 . 437 ) (


La modulacin PS presenta un rizado de conmutacin menor y de mayor frecuencia.
Por tanto, tiene unas condiciones mejores si se pretende aadir elementos de filtrado en
la salida.

El espectro de la corriente en funcin de la modulacin es:

Modulacin PS:





















Figura 5.18. Espectro de la corriente de salida (i
L
) con modulacin PS-PWM.
50Hz, 15dBA
58.67kHz, -36.59dBA
117.16kHz, -38.72dBA
175.86kHz, -55.2dBA
234.62kHz, -58.4dBA
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-17
Los armnicos se encuentran situados en mltiplos del triple de la frecuencia de
conmutacin (3f
portadora
), siendo f
portadora
= 19531 Hz.

Modulacin PD:





















Figura 5.19. Espectro de la corriente de salida (i
L
) con modulacin PD-PWM.

Los armnicos se encuentran situados en mltiplos de la frecuencia de las portadoras,
siendo f
portadora
= 19531 Hz.

Las medidas mostradas anteriormente han sido procesadas mediante el programa
XViewer de Yokogawa.


A parte de la comparativa entre espectros de la corriente de salida (i
L
), tambin es
interesante evaluar el espectro de la tensin multinivel (v
HT
) para las dos modulaciones.

En las siguientes figuras se muestra que los armnicos de mayor nivel se sitan en las
mismas frecuencias que en la corriente inyectada. Sin embargo, se pueden observar
rallas espectrales para todos los mltiplos de la frecuencia de portadora.










50Hz, 15.1dBA
19.45kHz, -23.8dBA
38.81kHz, -43.69dBA
58.51kHz, -42.57dBA
78.23kHz, -50.8dBA
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-18
Modulacin PS:





















Figura 5.20. Espectro de la tensin multinivel (v
HT
) con modulacin PS-PWM.


Modulacin PD:





















Figura 5.21. Espectro de la tensin multinivel (v
HT
) con modulacin PD-PWM.

El espectro de la modulacin PS-PWM tiene mayor nmero de armnicos que el de la
modulacin PD-PWM. Sin embargo, su frecuencia es mayor y se pueden filtrar mejor.
50Hz, 34.68dBV
58.67kHz, 9.15dBV
117.16kHz, 13.4dBV
175.86kHz, -1.2dBV
234.62kHz, -1.17dBV
50Hz, 34.37dBV
19.5kHz, 15.33dBV
38.61kHz, 4.34dBV
58.51kHz, 5.14dBV
78.11kHz, -2.89dBV
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-19
Para finalizar el estudio, se muestra una captura donde se representan las acciones de
control U_A_2, U_B_2, U_C_2 de cada puente y la tensin multinivel v
HT
.














Figura 5.22. Accin de control de los puentes y tensin multinivel (v
HT
).

La modulacin PD tiene menor nmero de conmutaciones por ciclo de red, permitiendo
reducir el estrs en los componentes y las prdidas por conmutacin.


Habiendo evaluado las variables ms importantes del inversor multinivel, se propone
modificar las condiciones de trabajo para validar el comportamiento del sistema. Para
ello, se modifican los puntos de trabajo y se observa si el rgimen estacionario
alcanzado es el correcto.


5.2.2.1. Variacin de la irradiancia.

Se modifica la irradiancia de los conjuntos fotovoltaicos y se posiciona la tensin de
cada etapa para que trabaje en el punto de mxima potencia.

Condicin de trabajo 1:

Irradiancia [W/m
2
] Tensin de referencia [V]
Irrad
1
Irrad
2
Irrad
3
v
dc1
*
v
dc2
*
v
dc3
*

1000 800 500 25.2 24.7 24

Tabla 5.7. Condiciones de trabajo.

La potencia terica que se transfiere a la red en estas condiciones es:

W W W W P P P P
m W mp m W mp m W mp
mpT
24 . 132 84 . 27 94 . 45 46 . 58
) / 500 ( ) / 800 ( ) / 1000 (
2 2 2
= + + = + + =

En la siguiente figura puede analizar la potencia real que se transfiere a la red, as como
la condicin de tener en fase la tensin de la red (v
g
) y la corriente de salida (i
L
).



U_A_2
U_B_2
U_C_2
vHT
U_A_2
U_B_2
U_C_2
vHT
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-20













Figura 5.23. Tensin de red (v
g
) y corriente de salida (i
L
).

Tomando las medidas de tensin y corriente de entrada a travs del puerto GPIB y
analizando la forma de onda capturada con el osciloscopio, se puede calcular el
rendimiento del sistema en estas condiciones.

Modulacin PS:

Medida Potencia [W] Rendimiento [%]
P. salida
i
LRMS
[A] 3.095
109.93
86.03
v
gRMS
[V] 35.52
P. entrada
i
pv1
[A] 2.27
127.78
i
pv2
[A] 1.78
i
pv3
[A] 1.05
v
pv1
[V] 25.66
v
pv2
[V] 24.79
v
pv3
[V] 24.2

Tabla 5.8. Clculo del rendimiento del inversor multinivel (modulacin PS-PWM).

Modulacin PD:

Medida Potencia [W] Rendimiento [%]
P. salida
i
LRMS
[A] 3.176
111.6
87.28
v
gRMS
[V] 35.14
P. entrada
i
pv1
[A] 2.25
127.86
i
pv2
[A] 1.77
i
pv3
[A] 1.1
v
pv1
[V] 25.41
v
pv2
[V] 24.93
v
pv3
[V] 24.15

Tabla 5.9. Clculo del rendimiento del inversor multinivel (modulacin PD-PWM).

El rendimiento obtenido es ligeramente mayor en el caso de la modulacin PD.



vg
iL
vg
iL
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-21
Las tensiones de entrada de las diferentes etapas deben estar posicionadas al valor fijado
por la tensin de salida de cada MPPT.













Figura 5.24. Tensin en los condensadores de entrada (v
dc1,2,3
) y corriente de salida (i
L
).














Figura 5.25. Ampliacin de la tensin en los condensadores de entrada (v
dc1,2,3
).

A medida que se reduce la potencia de entrada de una etapa, disminuye el rizado sobre
la tensin del condensador. Mediante el sistema de control diseado, se consigue
independencia de funcionamiento entre etapas de entrada.

Seguidamente se muestran los valores que alcanzan las variables de escalado K
1
, K
2
y
K
3
y se relacionan con los ciclos de trabajo individuales (PS) y los tiempos de
asignacin de portadoras (PD).

Teniendo en cuenta que cada etapa tiene una condicin de funcionamiento diferente, es
de esperar que cada variable de escalado alcance un valor en estado estacionario
distinto.








vdc1 vdc2 vdc3 iL vdc1 vdc2 vdc3 iL
vdc1
vdc2
vdc3
vdc1
vdc2
vdc3
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-22













Figura 5.26. Variables de escalado.

El valor de las variables de escalado es distinto en funcin de la modulacin. Sin
embargo, la suma de ellas da el mismo valor, ya que la corriente inyectada es la misma
en un caso que en el otro y por tanto, K es igual en ambas modulaciones.

PS-PWM: PD-PWM

0180 . 0 41969 . 0
0288 . 0 67031 . 0
0409 . 0 95094 . 0
0877 . 0 0409 . 2
3 / 3
2 / 2
1 / 1
/
= =
= =
= =
= =
K K
K K
K K
K K
Dout A
Dout A
Dout A
Dout A

0198 . 0 46114 . 0
0146 . 0 33893 . 0
0571 . 0 32950 . 1
0915 . 0 12920 . 2
3 / 3
2 / 2
1 / 1
/
= =
= =
= =
= =
K K
K K
K K
K K
Dout A
Dout A
Dout A
Dout A


(*)
Escalado del conversor D/A serie para el valor de K = 0.04296.

Siendo el valor de K terico esperado:

PS-PWM 087 . 0
) 2 52 . 35 (
86 . 0 78 . 127 2
2
2 2
) (
= = =
g
entrada mp
A
P
K



PS-PWM 09 . 0
) 2 14 . 35 (
87 . 0 86 . 127 2
2
2 2
) (
= = =
g
entrada mp
A
P
K



El valor terico esperado se corresponde con el obtenido monitorizando las variables
internas de la FPGA.

Con estos valores se puede estimar el valor que han de tener los tiempos de asignacin
de portadoras (ciclos de portadora):


( )
( )
( ) ciclos ms K K T T
ciclos ms K K T T
ciclos ms K K T T
T
rot
rot
rot
rot
9 5 . 0
7 3 . 0
26 3 . 1
10 15 . 2
3 3
2 2
1 1
3
= =
= =
= =
=




K1
K2
K3
K
K1
K2
K3
K
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-23
Los ciclos de trabajo y las asignaciones de tiempos de rotacin resultantes son:














Figura 5.27. Ciclos de trabajo (PS) y asignacin temporal de portadoras (PD).

Modulacin PS:

La relacin entre las portadoras y los ciclos de trabajo a la salida del conversor D/A es:

= + =
= + =
= + =
= + =

] ,775 0 , 225 . 4 [ 5 . 2 45 . 3
] .122 2 , 878 . 2 [ 5 . 2 7562 . 0
] .947 1 , 054 . 3 [ 5 . 2 107 . 1
] 715 1 , 286 . 3 [ 5 . 2 571 . 1
3
2
1
V V V V d
V V V V d
V V V V d
V . V V V d
RMS pp
RMS pp
RMS pp
RMS pp



Modulacin PD:
















Figura 5.28. Asignacin temporal de portadoras (PD).

El nmero de ciclos de portadora varan en 1 segn como el sistema de control realiza
el redondeo. La secuencia de asignacin es 25, 7, 10 en lugar de 26, 7, 9.
d1
d2
d3
d
Prot1
Prot2
Prot3
iL
rot
T
1
T
2
T
3
T
Portadoras

1.31V
pp
+ 2.5V
RMS
(offset)

[3.155V, 1.845V]
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-24
La tensin de salida del multinivel para este caso es:













Figura 5.29. Tensin multinivel (v
HT
) y corriente de salida (i
L
).

La corriente de salida (i
L
) y la tensin multinivel (v
HT
) siguen estando en fase aunque las
condiciones de trabajo de las diferentes etapas sean distintas.


Condicin de trabajo 2:

Se realiza el estudio anlogo al presentado en la condicin 1, pero esta vez solamente se
vara uno de los conjuntos fotovoltaicos dejando los otros dos a 1000 W/m
2
.

Irradiancia [W/m
2
] Tensin de referencia [V]
Irrad
1
Irrad
2
Irrad
3
v
dc1
*
v
dc2
*
v
dc3
*

1000 1000 500 25.2 25.2 24

Tabla 5.10. Condiciones de trabajo.

La potencia terica que se transfiere a la red en estas condiciones es:

W W W W P P P P
m W mp m W mp m W mp
mpT
76 . 144 84 . 27 46 . 58 46 . 58
) / 500 ( ) / 1000 ( ) / 1000 (
2 2 2
= + + = + + =

En la siguiente figura se observa la potencia real que se transfiere a la red y el desfase
nulo entre la tensin de red (v
g
) y la corriente de salida (i
L
).













Figura 5.30. Tensin de red (v
g
) y corriente de salida (i
L
).
vHT
iL
vHT
iL
vg
iL
vg
iL
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-25
En este caso no se ha calculado el rendimiento ya que es prcticamente idntico al que
se ha mostrado en la condicin de trabajo 1.

Modulacin PS:

Medida Potencia [W]
P. salida
i
LRMS
[A] 3.3327
118.59
v
gRMS
[V] 35.586

Tabla 5.11. Potencia entregada a la red (modulacin PS-PWM).

Modulacin PD:

Medida Potencia [W]
P. salida
i
LRMS
[A] 3.4113
120.79
v
gRMS
[V] 35.41

Tabla 5.12. Potencia entregada a la red (modulacin PD-PWM).

La potencia entregada en el caso de modulacin PD es ligeramente mayor ya que las
prdidas por conmutacin son menores.

Las tensiones de entrada de las diferentes etapas deben estar posicionadas al valor fijado
por la tensin de salida de cada MPPT.













Figura 5.31. Tensin en los condensadores de entrada (v
dc1,2,3
) y corriente de salida (i
L
).












Figura 5.32. Ampliacin de la tensin en los condensadores de entrada (v
dc1,2,3
).
vdc1 vdc2 vdc3 iL vdc1 vdc2 vdc3 iL
vdc1
vdc2
vdc3
vdc1
vdc2
vdc3
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-26
El rizado sobre la tensin de entrada tiene la misma amplitud en las etapas 1 y 2,
mientras que en la etapa 3 es menor. Se puede afirmar que hay independencia entre las
etapas.

Seguidamente se muestran los valores que alcanzan las variables de escalado K
1
, K
2
y
K
3
y se relacionan con los ciclos de trabajo individuales (PS) y los tiempos de
asignacin de portadoras (PD).













Figura 5.33. Variables de escalado.

El valor de las variables de escalado es distinto en funcin de la modulacin. Sin
embargo, la suma de ellas da el mismo valor, ya que la corriente inyectada es la misma
en un caso que en el otro y por tanto, K es igual en ambas modulaciones.

PS-PWM: PD-PWM

0169 . 0 39237 . 0
0363 . 0 84399 . 0
0410 . 0 95342 . 0
0941 . 0 18960 . 2
3 / 3
2 / 2
1 / 1
/
= =
= =
= =
= =
K K
K K
K K
K K
Dout A
Dout A
Dout A
Dout A

0085 . 0 19848 . 0
0264 . 0 61368 . 0
0637 . 0 48360 . 1
0986 . 0 29520 . 2
3 / 3
2 / 2
1 / 1
/
= =
= =
= =
= =
K K
K K
K K
K K
Dout A
Dout A
Dout A
Dout A


(*)
Escalado del conversor D/A serie para el valor de K = 0.04296.

Siendo el valor de K terico esperado:

PS-PWM 093 . 0
) 2 58 . 35 (
59 . 118 2
2
2 2
) (
= = =
g
inyectada mp
A
P
K

PS-PWM 096 . 0
) 2 41 . 35 (
79 . 120 2
2
2 2
) (
= = =
g
inyectada mp
A
P
K

El valor terico esperado se corresponde con el obtenido monitorizando las variables
internas de la FPGA.

Con estos valores se puede estimar el valor que han de tener los tiempos de asignacin
de portadoras (ciclos de portadora):

K1
K2
K3
K
K1
K2
K3
K
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-27

( )
( )
( ) ciclos ms K K T T
ciclos ms K K T T
ciclos ms K K T T
T
rot
rot
rot
rot
4 2 . 0
11 6 . 0
27 4 . 1
10 15 . 2
3 3
2 2
1 1
3
= =
= =
= =
=



Los ciclos de trabajo y las asignaciones de tiempos de rotacin resultantes son:














Figura 5.34. Ciclos de trabajo (PS) y asignacin temporal de portadoras (PD).

Modulacin PS:

= + =
= + =
= + =
= + =

] .75 0 , 25 . 4 [ 5 . 2 5 . 3
] .134 2 , 866 . 2 [ 5 . 2 731 . 0
] .854 1 , 147 . 3 [ 5 . 2 293 . 1
] .765 1 , 235 . 3 [ 5 . 2 47 . 1
3
2
1
V V V V d
V V V V d
V V V V d
V V V V d
RMS pp
RMS pp
RMS pp
RMS pp



Modulacin PD:















Figura 5.35. Asignacin temporal de portadoras (PD).
d1
d2
d3
d
Prot1
Prot2
Prot3
iL
rot
T
1
T
2
T
3
T
Portadoras

1.31V
pp
+ 2.5V
RMS
(offset)

[3.155V, 1.845V]
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-28

El nmero de ciclos de portadora varan en 1 segn como el sistema de control realiza
el redondeo. La secuencia de asignacin es 26, 11, 5 en lugar de 27, 11, 4.

La tensin de salida del multinivel para en estas condiciones es:













Figura 5.36. Tensin multinivel (v
HT
) y corriente de salida (i
L
).

Los valores de tensin de los diferentes niveles que forman la seal multinivel (v
HT
)
dependen de la tensin de entrada de cada una de las etapas.


5.2.2.2. Variacin de la tensin de referencia.

Se modifica la tensin de referencia forzando que el sistema trabaje en un punto
diferente al de mxima potencia.

Condicin de trabajo:

Irradiancia [W/m
2
] Tensin de referencia [V]
PS-PWM PD-PWM
Irrad
1
Irrad
2
Irrad
3
v
dc1
*
v
dc2
*
v
dc3
*
v
dc1
*
v
dc2
*
v
dc3
*

1000 1000 1000 28 25 22 27 25 23

Tabla 5.13. Condiciones de trabajo.

Se han aplicado diferentes valores de tensin entre la modulacin PS y la PD ya que en
el segundo caso, el sistema se hace inestable. El motivo es la prdida de resolucin de
ciclos de portadora a medida que aumenta la diferencia entre etapas, tal y como se
detalla muestra en la figura siguiente:








Figura 5.37. Lmite de resolucin para la asignacin temporal de portadoras (PD).
vHT
iL
vHT
iL
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-29

Una vez clarificado el motivo por el que se eligen los puntos de trabajo de la tabla 5.13,
se prosigue con la evaluacin del funcionamiento del inversor multinivel.













Figura 5.38. Tensin de red (v
g
) y corriente de salida (i
L
).

A partir de las medidas de tensin y corriente tomadas en la entrada y la salida del
inversor multinivel, se puede calcular el rendimiento.

Modulacin PS:

Medida Potencia [W] Rendimiento [%]
P. salida
i
LRMS
[A] 3.4299
122.26
90.7
v
gRMS
[V] 35.647
P. entrada
i
pv1
[A] 0.94
134.78
i
pv2
[A] 2.14
i
pv3
[A] 2.39
v
pv1
[V] 28.12
v
pv2
[V] 25.37
v
pv3
[V] 22.62

Tabla 5.14. Clculo del rendimiento del inversor multinivel (modulacin PS-PWM).

Modulacin PD:

Medida Potencia [W] Rendimiento [%]
P. salida
i
LRMS
[A] 3.8362
135.98
90.2
v
gRMS
[V] 35.449
P. entrada
i
pv1
[A] 1.52
150.66
i
pv2
[A] 2.17
i
pv3
[A] 2.36
v
pv1
[V] 27
v
pv2
[V] 25.2
v
pv3
[V] 23.28

Tabla 5.15. Clculo del rendimiento del inversor multinivel (modulacin PD-PWM).



vg
iL
vg
iL
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-30
En este caso no se puede comparar el rendimiento entre las dos modulaciones ya que
estn en condiciones de trabajo distintas. Sin embargo, los valores son muy similares.

Seguidamente se muestra el posicionado de las tensiones de cada etapa al valor fijado
por el MPPT. En este caso, se fuerza un valor de trabajo distinto al de mxima potencia.













Figura 5.39. Tensin en los condensadores de entrada (v
dc1,2,3
) y corriente de salida (i
L
).

Se verifica que en ambos casos se tiene independencia entre etapas. Cada tensin
converge al valor fijado por la referencia.

En este apartado se muestra directamente la evolucin de los ciclos de trabajo
individuales (PS) y los tiempos de asignacin de portadoras (PD). El valor de las
variables de escalado ya se ha estudiado en los puntos anteriores y por ello se va
directamente al anlisis de las formas de onda ms relevantes.



Figura 5.40. Ciclos de trabajo (PS) y asignacin temporal de portadoras (PD).

Modulacin PS:

= + =
= + =
= + =
= + =

] ,690 0 , 310 . 4 [ 5 . 2 62 . 3
] .769 1 , 231 . 3 [ 5 . 2 461 . 1
] .793 1 , 208 . 3 [ 5 . 2 415 . 1
] .131 2 , 869 . 2 [ 5 . 2 738 . 0
3
2
1
V V V V d
V V V V d
V V V V d
V V V V d
RMS pp
RMS pp
RMS pp
RMS pp

vdc1
vdc2
vdc3
vdc1
vdc2
vdc3
Portadoras

1.31V
pp
+ 2.5V
RMS
(offset)

[3.155V, 1.845V]
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-31
Cuanto mayor es la tensin fijada como punto de trabajo, menor es la corriente
entregada por la etapa y, por tanto, menor es la amplitud del ciclo de trabajo.


Modulacin PD:















Figura 5.41. Asignacin temporal de portadoras (PD).

La secuencia de asignacin de ciclos de portadora para estas condiciones de trabajo es
8, 20, 14.


























rot
T
1
T 3
T
2
T
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-32
5.2.3. Funcionamiento en rgimen transitorio.

Una vez estudiado el funcionamiento del inversor multinivel en estado estacionario, se
realizan diversas pruebas para ver cmo evolucionan las formas de onda frente a
transitorios. Se estudian las variaciones de irradiancia y los cambios en la tensin de
referencia.


5.2.3.1. Variaciones de la irradiancia.

En este apartado se muestra la respuesta del inversor multinivel frente a variaciones de
irradiancia. Para ello, se fija una tensin de trabajo igual en todas las etapas y se realiza
una transicin de tipo escaln entre una curva de irradiancia y otra de distinta.

Condicin de trabajo 1:

Manteniendo v
dc1
*
= v
dc2
*
= v
dc3
*
= 25V:

Irradiancia [W/m
2
]
t
1
t
2
t
3

Irrad
1
1000 800 500
Irrad
2
1000 1000 1000
Irrad
3
1000 1000 1000

Tabla 5.16. Condiciones de trabajo.

La respuesta del sistema se observa en las siguientes figuras:














Figura 5.42. Variacin progresiva de la irradiancia sobre el conjunto fotovoltaico 1 desde
1000W/m
2
hasta 500 W/m
2
.

A medida que disminuye la irradiancia sobre el conjunto fotovoltaico, la corriente
inyectada a la red va disminuyendo.

Las tensiones de entrada de las etapas fluctan cada vez que se produce un transitorio.
Dicha fluctuacin es mayor como ms grande sea la variacin de potencia en la entrada.
vdc1
vdc2
vdc3
iL
vdc1
vdc2
vdc3
iL
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-33
En las figuras anteriores se observa que en la transicin entre 800 W/m
2
y 500 W/m
2
, se
alcanza un valor de tensin v
dc1
cercano al lmite de la estabilidad del control externo.

Para evitar que el sistema se haga inestable y deje de funcionar, se ha aplicado una
compensacin de la corriente inyectada. Cuando el control externo detecta que la
tensin en bornes de alguno de los condensadores de entrada desciende por debajo de
19V, reduce a la mitad la variable de escalado pertinente. Esta reduccin se realiza de
forma instantnea, es decir, sin esperar el tiempo de ciclo de red. De este modo, se evita
que el condensador siga descargndose y alcance un valor fuera del margen de trabajo
visto en la tabla 5.3.

Si se observa la figura 5.42 con modulacin PD y se ampla el transitorio entre 800 y
500 W/m
2
, se puede ver claramente la activacin de la compensacin.


















Figura 5.43. Detalle de la compensacin de las variables de escalado en transitorios.

Durante tiempo que dura el transitorio no se asegura que la corriente sea sinusoidal, sin
embargo, se consigue mantener la tensin de entrada dentro del margen de
funcionamiento.


Condicin de trabajo 2:

Manteniendo v
dc1
*
= v
dc2
*
= v
dc3
*
= 25V:

Irradiancia [W/m
2
]
t
1
t
2
t
3

Irrad
1
500 800 1000
Irrad
2
1000 1000 1000
Irrad
3
1000 1000 1000

Tabla 5.17. Condiciones de trabajo.

vdc1
vdc2
vdc3
iL
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-34
Este caso es el inverso del que se ha visto en la condicin 1.













Figura 5.44. Variacin progresiva de la irradiancia sobre el conjunto fotovoltaico 1 desde
1000W/m
2
hasta 500 W/m
2
.

Se puede ver como la corriente va incrementando a medida que aumenta la irradiancia
sobre los paneles.

Las fluctuaciones de tensin se producen en mayor magnitud sobre la tensin v
dc1
. No
obstante, las tensiones vuelven a alcanzar el rgimen estacionario fijado por la tensin
de referencia.


Condicin de trabajo 3:

Manteniendo v
dc1
*
= v
dc2
*
= v
dc3
*
= 25V:

Irradiancia [W/m
2
]
t
1
t
2
t
3

Irrad
1
1000 500 1000
Irrad
2
1000 1000 1000
Irrad
3
1000 1000 1000

Tabla 5.18. Condiciones de trabajo.













Figura 5.45. Variacin instantnea de la irradiancia sobre el conjunto fotovoltaico 1 desde
1000W/m
2
hasta 500 W/m
2
.
vdc1
vdc2
vdc3
iL
vdc1
vdc2
vdc3
iL
vdc1
vdc2
vdc3
iL
vdc1
vdc2
vdc3
iL
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-35
En esta simulacin se realiza una transicin de tipo escaln entre la curva de potencia de
1000 W/m
2
y la de 500 W/m
2
.

Se puede observar una transicin abrupta de la tensin v
dc1
y la fluctuacin de las
tensiones en las otras etapas. Sin embargo, se verifica la correcta regulacin puesto que
vuelven a converger al valor de referencia.

En este caso se puede observar claramente como se activa la proteccin para compensar
la cada de la tensin.


Condicin de trabajo 4:

Manteniendo v
dc1
*
= v
dc2
*
= v
dc3
*
= 25V:

Irradiancia [W/m
2
]
t
1
t
2
t
3
t
4

Irrad
1
1000 800 800 800
Irrad
2
1000 1000 800 800
Irrad
3
1000 1000 1000 800

Tabla 5.19. Condiciones de trabajo.

Para finalizar la evaluacin de los cambios de irradiancia, se muestra una situacin en la
cual van cambiando las condiciones ambientales en todos los conjuntos fotovoltaicos en
un intervalo de tiempo de 6 segundos.













Figura 5.46. Variacin progresiva de la irradiancia en todos los conjuntos fotovoltaicos desde
1000W/m
2
hasta 800 W/m
2
.

Se puede observar como las tensiones convergen al valor de 25V y la corriente i
L
va
disminuyendo de valor a medida que se reduce la potencia de entrada de cada etapa.







vdc1
vdc2
vdc3
iL
vdc1
vdc2
vdc3
iL
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-36
5.2.3.2. Transiciones de la tensin de referencia.

Para finalizar el estudio, se presenta un conjunto de figuras que muestran el seguimiento
de la tensin de referencia. Se emula de este modo la accin que produce sobre el
inversor un algoritmo MPPT.


Condicin de trabajo 1:

Manteniendo Irrad
1
= Irrad
2
= Irrad
3
= 1000 W/m
2
:

Tensin de referencia [V]
t
1
t
2
t
3

v
dc1
*
25 28 25
v
dc2
*
25 25 25
v
dc3
*
25 25 25

Tabla 5.20. Condiciones de trabajo.














Figura 5.47. Variacin de la tensin de entrada v
dc1
desde 25V a 28V.

Se puede observar como la tensin en la entrada de la etapa 1 se posiciona a 28V
mientras que las otras se mantienen en 25V.

En el caso de la modulacin PD, se observa que el transitorio de variacin del punto de
trabajo en una etapa afecta a las otras. Sin embargo, en rgimen estacionario, cada
tensin alcanza el valor prefijado de forma independiente.

La amplitud de la corriente decrece cuando se mueve la etapa 1 hacia los 28V ya que la
potencia entregada por el conjunto fotovoltaico en ese punto de trabajo es menor.







vdc1
vdc2
vdc3
iL
vdc1
vdc2
vdc3
iL
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-37
Condicin de trabajo 2:

Manteniendo Irrad
1
= Irrad
2
= Irrad
3
= 1000 W/m
2
:

Tensin de referencia [V]
t
1
t
2
t
3

v
dc1
*
25 22 25
v
dc2
*
25 25 25
v
dc3
*
25 25 25

Tabla 5.21. Condiciones de trabajo.














Figura 5.48. Variacin de la tensin de entrada v
dc1
desde 25V a 22V.

Se realiza un transitorio en la etapa 1 desde 25V hasta 22V y luego se retorna al valor
inicial. La regulacin de la tensin se produce correctamente alcanzando los valores
fijados.

La corriente se mantiene prcticamente constante ya que la variacin de potencia de
entrada es pequea.


Condicin de trabajo 3:

Manteniendo Irrad
1
= Irrad
2
= Irrad
3
= 1000 W/m
2
:

Tensin de referencia [V]
t
1
t
2
t
3

v
dc1
*
25 28 25
v
dc2
*
25 28 25
v
dc3
*
25 28 25

Tabla 5.22. Condiciones de trabajo.





vdc1
vdc2
vdc3
iL
vdc1
vdc2
vdc3
iL
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-38













Figura 5.49. Variacin simultnea de las tensiones de entrada v
dc1
, v
dc2
, v
dc3
desde 25V a 28V.

En esta simulacin se valida la accin simultnea de los controladores. Se produce una
variacin desde 25V hasta 28V en las tres etapas a la vez y las tensiones se estabilizan
al punto de trabajo deseado.

Se puede observar la variacin de la corriente debida a la disminucin de la potencia de
entrada en las tres etapas a la vez.


Condicin de trabajo 4:

Manteniendo Irrad
1
= Irrad
2
= Irrad
3
= 1000 W/m
2
:

Tensin de referencia [V]
t
1
t
2
t
3

v
dc1
*
25 23 25
v
dc2
*
25 23 25
v
dc3
*
25 23 25

Tabla 5.23. Condiciones de trabajo.














Figura 5.50. Variacin simultnea de las tensiones de entrada v
dc1
, v
dc2
, v
dc3
desde 25V a 23V.


vdc1
vdc2
vdc3
iL
vdc1
vdc2
vdc3
iL
vdc1
vdc2
vdc3
iL
vdc1
vdc2
vdc3
iL
Captulo 5 __ Resultados Experimentales del Inversor Multinivel.

5-39
En este caso, se observa que el sistema est trabajando justo en los lmites de la
estabilidad. Tal y como se ha comentado en el punto 5.1.2, la mnima tensin permitida
con irradiancia de 1000 W/m
2
es de 22.6V.


Condicin de trabajo 5:

Manteniendo Irrad
1
= Irrad
2
= Irrad
3
= 1000 W/m
2
:

PS: Tensin de referencia [V] PD: Tensin de referencia [V]
t
1
t
2
t
3
t
1
t
2
t
3

v
dc1
*
25 28 -- 25 28 --
v
dc2
*
25 25 -- 25 25 --
v
dc3
*
25 22 -- 25 23 --

Tabla 5.24. Condiciones de trabajo.















Figura 5.51. Variacin simultnea de las tensiones de entrada v
dc1
y v
dc3
a puntos de trabajo
distintos.

Mediante esta captura se valida la independencia entre etapas. Mientras se mantiene la
etapa 2 estable, se modifica el punto de trabajo de la etapa 1 y de la etapa 3.

Las tres etapas tienen la misma configuracin de paneles fotovoltaicos en la entrada
pero el sistema de control permite que puedan trabajar en puntos distintos.
vdc1
vdc2
vdc3
iL
vdc1
vdc2
vdc3
iL
Captulo 6 __ Conclusiones y Futuras Lneas de Investigacin.

6-1
CAPTULO 6











Conclusiones y Futuras Lneas de
Investigacin.

















Captulo 6 __ Conclusiones y Futuras Lneas de Investigacin.

6-2


































Captulo 6 __ Conclusiones y Futuras Lneas de Investigacin.

6-3
6.1. Conclusiones.

El presente proyecto se propuso con la finalidad de disear e implementar un inversor
multinivel para sistemas fotovoltaicos conectados a la red monofsica y verificar
experimentalmente teoras de control propuestas en investigaciones previas.

El proceso seguido para el diseo del prototipo del inversor, se ha iniciado mediante la
eleccin de una estructura AC-serie formada por n etapas de entrada DC-AC a las que
se conectan n conjuntos de paneles fotovoltaicos, pudiendo estar cada uno de ellos
sometido a condiciones ambientales y de trabajo distintas. La estructura AC-serie
requiere que la suma de tensiones de entrada sea mayor que la amplitud de la tensin de
red. Esta topologa permite reducir el nmero de paneles fotovoltaicos a conectar en
serie en cada etapa.

El sistema de control se ha diseado mediante el estudio del balance energtico de
modo que se asegura la mxima extraccin de energa del generador fotovoltaico en
todos los casos, y su inyeccin a red con factor de potencia unitario. El controlador
propuesto, est formado por n lazos de control externos que permiten posicionar cada
agrupacin de paneles para que trabaje en su punto de mxima potencia de forma
independiente y por un nico lazo de control interno, que genera las seales de control
necesarias para inyectar una corriente sinusoidal y en fase con la red elctrica.

Para conseguir que el inversor AC-serie trabaje como multinivel, se han elegido las
estrategias de modulacin a frecuencia fija (PS-PWM y PD-PWM) presentadas en
[T.1]. En el caso de PS-PWM se realiza la ponderacin del ciclo de trabajo y se
desfasan las portadoras en funcin del nmero de etapas, mientras que con PD-PWM se
utiliza la tcnica rotacin de portadoras.

Una vez determinada la estructura y el sistema de control a utilizar, se han evaluado los
siguientes aspectos:

- Se analiza la estructura multinivel con ambas modulaciones y se disean los
parmetros del controlador.

- Estudiando la estabilidad de los diversos lazos de control se concluye que,
asegurando la estabilidad del lazo de control externo en cada etapa de entrada y
del lazo de control interno, el sistema global es estable.

- Mediante simulacin con Matlab/Simulink se verifica el correcto funcionamiento
del sistema inversor y se evala el arranque del sistema, el rgimen estacionario
y los transitorios debidos a cambios en las condiciones de funcionamiento de los
conjuntos fotovoltaicos.

- Una vez evaluado el funcionamiento mediante simulacin, se prosigue con la
implementacin de un inversor multinivel de tres etapas que permita validar los
resultados obtenidos previamente. Parte del sistema de control se programa en
VHDL y se integra en una FPGA Spartan 3 de Xilinx.


Captulo 6 __ Conclusiones y Futuras Lneas de Investigacin.

6-4
- Al no disponer de paneles fotovoltaicos reales, se utilizan emuladores de panel
fotovoltaico de la familia E435X de Agilent. Se desarrolla la interfcie de control
de estos equipos mediante programacin con Visual Basic de la aplicacin
Microsoft Office Excel.

- Se definen los planos de masa, se desarrollan los circuitos hardware de control y
sensado necesarios, se interconectan las etapas de potencia para poder inyectar
corriente a la red elctrica y se evala el correcto funcionamiento e integridad de
todas las seales del sistema.

- El sistema inversor implementado permite modularidad, pudiendo validar las
tcnicas de control tanto para un inversor central (multinivel de una etapa),
como para dos y tres etapas de entrada solamente cambiando el software interno
de la FPGA.

- El punto de trabajo de cada etapa puede ser definido por el usuario mediante
comunicacin RS-232 entre el PC y la FPGA. Se utiliza la misma aplicacin con
la que se genera el panel de control de los instrumentos (Visual Basic).

- Se han obtenido resultados experimentales que validan las predicciones tericas
en cuanto a la regulacin de la tensin de entrada, factor de potencia unitario,
mxima transferencia de potencia a la red elctrica, independencia del punto de
trabajo entre etapas, operacin del sistema en modo multinivel a frecuencia fija,
estabilidad del sistema, entre otras.

- De la comparativa entre la modulacin PS y PD se puede concluir que, en ambos
casos se alcanza el rgimen transitorio prefijado y se obtiene independencia de
puntos de trabajo entre etapas.

- A partir del estudio del espectro de ambas modulaciones, se puede determinar
que la modulacin PS disminuye el rizado de conmutacin sobre la corriente
inyectada y permite un filtrado mejor a medida que incrementa el nmero de
etapas de entrada. Esto no sucede con la modulacin PD, pues, el rizado y los
armnicos se mantienen aunque se aumente el nmero de etapas.

- La ventaja que ofrece la modulacin PD es la de reducir el nmero de
conmutaciones en cada etapa. Esto permite disminuir el estrs en los
componentes y mejorar el rendimiento. Puede ser aconsejable cuando se
manejan potencias elevadas.


La implementacin del sistema inversor multinivel, ha permitido la validacin
experimental de las teoras de control propuestas y tambin ha sido til para cerrar
investigaciones y publicaciones realizadas con anterioridad. Se dispone de una
plataforma para la elaboracin y estudio de futuros algoritmos de control.





Captulo 6 __ Conclusiones y Futuras Lneas de Investigacin.

6-5
6.2. Futuras lneas de investigacin.


Habiendo culminado la realizacin del proyecto y en base a los resultados obtenidos, se
pueden plantear algunas lneas de investigacin adicionales:


- Evaluacin de la eficiencia global del sistema inversor mediante el incremento
de las capacidades de entrada. La reduccin del rizado sobre la tensin de
entrada permite mantener con mayor precisin el punto de trabajo en mxima
potencia.

- Determinacin analtica de las variables K
1
, K
2
y K
3
para ponderar la resolucin
necesaria en la asignacin de ciclos de portadora con modulacin PD segn el
margen de potencias que se deba manejar.

- Integracin del clculo del error de corriente y de la generacin de la corriente
de referencia (i
Lref
) dentro de la FPGA.

- Evaluacin de la reduccin de prdidas por conmutacin utilizando modulacin
PD cuando se trabaja con potencias del orden de megavatios.

- Aplicacin de la metodologa de diseo sobre otras topologas de inversores
fotovoltaicos conectados a red.

- Monitorizacin remota de las variables de estado y envo de datos al sistema de
control va RS-232 u otro protocolo de comunicacin. Creacin de una
plataforma de control hombre-mquina completa.

- Anlisis de otros controladores y comparativa con la metodologa de diseo
actualmente desarrollada.

- Considerar las modificaciones necesarias para el diseo del inversor cuando deja
de estar conectado a la red y se utiliza para alimentar otras cargas.

- Evaluar la generacin de armnicos y oscilaciones en la red cuando se conecta
un nmero elevado de inversores multinivel utilizando las modulaciones
propuestas.
Bibliografa _

7-1
CAPTULO 7












Bibliografa.


















Bibliografa _

7-2


































Bibliografa _

7-3
7.1 Tesis Doctorales.


[T.1] J.J. Negroni Vera. [2007]
Anlisis y diseo de controladores para inversores multinivel en sistemas
fotovoltaicos conectados a red. Tesis Doctoral. U.P.C.

[T.2] C. Meza Benavides. [2007]
Analysis and control of single-phase single-stage grid-connected
photovoltaic invertir. Tesis Doctoral. I.O.C U.P.C.



7.2 Proyectos Fin de Carrera.


[P.1] J.F. Jimnez Ortiz. [Enero 2009]
Estudio y simulacin de sistemas de conversin fotovoltaica-elctrica
mediante Matlab-Simulink. Proyecto de final de carrera. E.U.P.V.G
U.P.C.

[P.2] J. Chavarra Ro. [Febrero 2001]
Diseo e implementacin de un elevador DC-AC mediante control en modo
deslizante. Proyecto de final de carrera. E.U.P.V.G U.P.C.



7.3 Artculos y publicaciones.


[A.1] C. Meza, J.J. Negroni, F. Guinjoan, D. Biel.
Modelado y control discreto basado en el balance energtico de sistemas
fotovoltaicos conectados a red.

[A.2] C. Meza, J.J. Negroni, D. Biel, F. Guinjoan. [July 2008]
Energy-Balance Modeling and Discrete Control for Single-Phase Grid-
Connected PV Central Inverters. IEEE Transactions on Industrial
Electronics, Vol.55, n7, pp 2734-2743.

[A.3] J.J. Negroni, F. Guinjoan, C. Meza, D. Biel.
Modelado y control de convertidores en cascada multinivel con modulacin
PD-PWM para sistemas fotovoltaicos conectados a red.

[A.4] J.J. Negroni, F. Guinjoan, C. Meza, D. Biel, H. Valderrama, L. Marroyo.
Control de Inversores Multinivel en Cascada para Sistemas Fotovoltaicos
Conectados a Red Mediante Modulacin PD-PWM con Asignacin
Temporal de Secuencias.



Bibliografa _

7-4
[A.5] C. Meza, D. Biel, J.J. Negroni, F. Guinjoan. [2006]
Considerations on the Control Design of DC-link Based Inverters in Grid-
Connected Photovoltaic Systems. ISCAS 2006.

[A.6] C. Meza, D. Biel, J.J. Negroni, F. Guinjoan. [June 2005]
Boost-Buck Inverter Variable Structure Control for Grid-Connected
Photovoltaic Systems with Sensorless MPPT. IEEE ISIE 2005, June 20-30,
2005, Dubrovnik, Croatia.

[A.7] R. Ramos, D. Biel, E. Fossas, F. Guinjoan. [2003]
Control of Single-Phase Parallel-Connected Inverters: Fixed-Frequency
Quasi-Sliding Mode Control Approach and FPGA-based Implementation.
IEEE 2003, pp 1426-1431.

[A.8] R. Ramos, D. Biel, F. Guinjoan, E. Fossas. [2002]
Design Cosiderations in Sliding-Mode Controlled Parallel-Connected
Inverters. IEEE 2002, pp IV.357-IV.360.

[A.9] D. Biel, F. Guinjoan, E. Fossas, and J. Chavarria. [August 2004]
Sliding-Mode Control Design of a Boost-Buck Switching Converter for ac
Signal Generation. IEEE Transactions on Circuits and Systems, Vol.51,
n.8, pp. 1539-1551, ISSN 1057-7122, August 2004.

[A.10] R. Teodorescu, F. Blaabjerg, M. Liserre and P.C. Loh. [September 2006]
Proportional-resonant controllers and filters for grid-connected voltage-
source converters. IEE Proc.-Electr. Appl., Vol. 153, No. 5, pp 750-762,
September 2006.

[A.11] D. Nahum Zmood and D. Grahame Holmes.
Stationary Frame Current Regulation of PWM Inverters With Zero Steady-
State Error. IEEE Transactions on Power Electronics, Vol. 18, No. 3, pp.
814-822, May 2003.

[A.12] X. Yuan, W. Merk and J. Allmeling.
Stationary-Frame Generalized Integrators for Current Control of Active
Power Filters With Zero Steady-State Error for Current Harmonics of
Concern Under Unbalanced and Distorted Operating Conditions. IEEE
Transactions on Industry Applications, Vol. 38, No 2, pp. 523-532,
March/April 2002.

[A.13] M. D. Manjrekar, P. K. Steimer, T. A. Lipo.
Hybrid Multilevel Power Coversion System: A Competitive Solution for
High-Power Applications. IEEE Transactions on Industry Applications,
Vol. 36, No 3, pp. 834-841, May/June 2000.

[A.14] Y. X. Yunping Zou, W. Chen, C. Wang, X. Liu and F. Li.
A Novel STATCOM Based on Hybrid Cascade Multilevel Inveter.



Bibliografa _

7-5
[A.15] G. Grandi and D. Ostojic.
Dual-Inverter-Based MPPT Algorithm for Grid-Connected Photovoltaic
Systems.

[A.16] O. Alonso, P. Sanchis, E. Guba and L. Marroyo.
Cascade H-Bridge Multilevel Converter for Grid Connected Photovoltaic
Generators with Independent Maximum Power Point Tracking of each Solar
Array.

[A.17] S. J. Lee, H. S. Bae and B. H. Cho
Modeling and Control of the Single-Phase Photovoltaic Grid-Connected
Cascade H-Bridge Multilevel Inverter.

[A.18] E. Villanueva, P. Correa, J. Rodriguez and M. Pacas.
Control of a Single-Phase Cascade H-Bridge Multilevel Inverter for Grid-
Connected Photovoltaic Systems. IEEE Transactions on Industrial
Electronics, Vol. 56, No. 11, pp. 4399-4406, November 2009.

[A.19] S. Daher, J. Schmid and F. L. M. Antunes.
Multilevel Inverter Topologies for Stand-Alone PV Systems. IEEE
Transactions on Industrial Electronics, Vol. 55, No. 7, pp. 2703-2712, July
2008.

[A.20] S. Ali Khajehoddin, A. Bakhshai and P. Jain.
The Application of the Cascade Multilevel Converters in Grid Connected
Photovoltaic Systems. IEEE Canada Electrical Power Conference, 2007.

[A.21] K. Mahabir, G. Verghese, V. Thottuvelli, and A. Heyman.
Linear averaged and sampled data models for large signal control of high
power factor ac-dc converter. Power Electronic Specialists Conference.
IEEE, 1990, pp. 291-299.

[A.22] A. Mitwalli, S. Leeb, G. Verghese, and V. Thottuvelli.
An adaptive digital controller for a unity power factor converter. IEEE
Transactions on Power Electronics, Vol. 11, No 2, pp. 374-382, March 1996.

[A.23] M. Prince.
Silicon solar energy converters. Journal of Applied Physics, Vol. 26, No 5,
pp. 534-540, May 1955.



7.4 Normativas.


[N.1] REAL DECRETO 1578/2008, de 26 de septiembre.
Retribucin de la actividad de produccin de energa elctrica mediante
tecnologa solar fotovoltaica para instalaciones posteriores a la fecha lmite
de mantenimiento de la retribucin del Real Decreto 661/2007.

Bibliografa _

7-6
[N.2] REAL DECRETO 661/2007, de 25 de mayo.
Se regula la actividad de produccin de energa elctrica en rgimen
especial.

[N.3] REAL DECRETO 436/2004, de 12 de marzo.
Se establece la metodologa para la actualizacin y sistematizacin del
rgimen jurdico y econmico de la actividad de produccin de energa
elctrica en rgimen especial.

[N.4] Plan de Energas Renovables en Espaa 2005 - 2010.
Ministerio de Industria, Turismo y Comercio / IDAE.

[N.5] Plan de Energas Renovables en Espaa 20011 2020.
Ministerio de Industria, Turismo y Comercio / IDAE.



7.5 Libros.

[L.1] S. Gomariz, D. Biel, J. Matas, M. Reyes.
Teora de control. Diseo electrnico. Edicions UPC, 1998. ISBN: 84-
8301-266-9.

[L.2] J. G. Proakis, D. G. Manolakis.
Tratamiento digital de seales, 3 Edicin. Prentice Hall, 2001. ISBN: 84-
8322-000-8.

[L.3] M. E. Van Valkenburg.
Analisis de redes. Editorial Limusa, S.A., 1983. ISBN: 968-18-0178-4.

[L.4] J. E. Marsden, A. J. Tromba.
Clculo vectorial. Addison-Wesley Iberoamericana, S.A., 1991. ISBN: 0-
201-62935-6.

[L.5] J.Kassakian, M. Schlecht, and G. Verghese.
Principles of Power Electronics. Addison-Wesley, 1991. ISBN: 0-201-
09689-7.

[L.6] Ministerio de Educacin y Ciencia.
Fundamentos, dimensionado y aplicaciones de la Energa Solar
Fotovoltica (Volumen I). Serie Ponencias. Ed. Ciemat, 2006. ISBN: 84-
7834-514-0.



7.6 Datasheets.

[D.1] Xilinx.
Spartan-3 FPGA Starter Kit Board User Guide. UG130 (v1.2). June 20,
2008.
Bibliografa _

7-7
[D.2] Xilinx.
Digital Clock Manager (DCM) Module. DS485. April 24, 2009.

[D.3] Digilent.
Digilent Pmod AD1 Analog To Digital Module Converter Board Reference
Manual. Doc: 502-064. Revision: 04/12/05.

[D.4] Digilent.
Digilent Pmod DA1 Digital to Analog Module Converter Board Reference
Manual. Doc: 502-063. Revision: 04/12/05.

[D.5] Digilent.
PmodDA1
TM
Reference Component. Revision: December 1, 2008.

[D.6] Digilent.
Digilab R2R Reference Manual. Doc: 502-016. Revision: April 15, 2002.

[D.7] Digilent Ro.
RS232 Reference Component. Revision: July 25, 2008.




(*)
Datasheets de todos los circuitos integrados que se mencionan en la tesis.

Você também pode gostar