Você está na página 1de 10

2/19/13

ASIC-System on Chip-VLSI Design: Synthesis Constraints

ASIC-System on Chip-VLSI Design

Like

15

Send

Home DFT

Links

Verilog

Low Power VLSI

Static Timing Analysis

Physical Design

Synthesis

Formal Verification

Ads by Google

Asic Verification

FPGA Asic

Asic Designs

Chip Timing

Low-Power Design
low-powerdesign.com Design articles, news and views for engineers designing low-power apps

Synthesis Constraints
asic-soc.blogspot.com/2007/10/synthesis-constraints.html

To subscribe latest articles enter your email address:

1/10

2/19/13

ASIC-System on Chip-VLSI Design: Synthesis Constraints

Let us discuss something about ASIC front end design and its related issues. I would like post some articles related to synthesis constraints, DFT and formal verification. I will consider Synopsys tools to support the discussion. Three types of constraints can be set for the design in Design Compiler (DC). They are: 1) DRC constraints 2) Optimization constraints 3) Environmental constraints. DRC constraints exist in library. DRC constraints cant be relaxed. They can be chosen from library. DRC constraints are: set_max_fanout, set_max_transition, set_max_capacitance. If DRC constraints are not specified, then default values from the library are taken. Three types of optimizations are possible-area, power and timing. We have optimization constraints related to all these. set_max_area, set_min_area are area constraints. Only basic level of power optimization is carried out by DC. Its primary target is to meet timing constraints. set_max_leakege and set_max_dynamic are the two power constraints that can be provided to DC. Both DRC and optimization constraints follow environmental constraints. Setting up of operating conditions and wire load model falls under environmental constraints. The constraints are: set_operating_conditions, set_wire_load_model and set_wire_load_mode. By default enclosed wire load mode is considered by DC.
asic-soc.blogspot.com/2007/10/synthesis-constraints.html
SUBSCRIBE

Subscribe

Posts Comments

TOP POSTS

Process-VoltageTemperature (PVT) Variations and Static Timing Analysis The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high s... Backend (Physical Design) Interview Questions and Answers Below are the sequence of questions asked for a physical design engineer. In which field are you interested? Answer to this question... Clock Definitions lock Definitions: Rising and falling edge of the clock For a +ve edge triggered design +ve (or rising) edge is called ... Embedded System for Automatic Washing Machine using Microchip PIC18F Series Microcontroller The design uses the PIC18F series microcontroller. All the control functionalities of the system are built
2/10

2/19/13

ASIC-System on Chip-VLSI Design: Synthesis Constraints

around this. Upgradeability is th...

Synthesis is timing driven process. Several timing constraints are put to synthesis process of SAMM. No timing specifications may be mentioned for a design. Hence to extract the possible value of clock, derive_timing_constraints command is used. This gives a clock period of x. A nearest clock period less than x can be chosen. This value of clock should satisfy slack requirement of DFT enabled circuit also. Examples of timing constraints are listed bellow: ->set_clock -period 4.75 clock: Clock period constraint set at 4.75 (210 MHz). ->set_clock_uncertainty setup 0.475 clock: -ve clock skew can lead to setup violations. Possible value of ve skew is provided to DC so that it can model for that. Generally setup uncertainty is taken as 10% of the clock. ->set_clock_uncertainty hold 0.27 clock: +ve clock skew can lead to hold violations. Possible value of +ve skew is provided to DC so that it can model for that. Generally hold uncertainty is taken as 5% of the clock. ->set_clock_latency 0.45 clock: This provides possible network latency constraint to DC. ->set_clock_latency source 0.4 clock: Source latency of 0.45 is selected. ->set_clock_transition 0.04 clock: Clock transition time of 0.04 is modeled. ->set_input_delay 0.40 [all_inputs]: Input delay of 0.4 is set to all inputs. ->remove_input_delay [get_ports clock]: Constraining clock with input delay leads to wrong timing analysis. To exclude clock port from the input delay this command is used.

Clock Gating Clock tree consume more than 50 % of dynamic power. The components of this power are: 1) Power consumed by combinatorial log... Temperature controller using Microchip PIC16F877A Microcontroller In continuously monitoring the surrounding temperature of industrial applications Temperature Monitoring Control System play v... Power Planning There are two types of power planning and management. They are core cell power management and I/O cell power management . In former one VDD... Physical Design Questions and Answers I am getting several emails requesting answers to the questions posted in this blog. But it is very difficult to provide detailed answer ... Clock Tree Synthesis (CTS) The goal of CTS is to minimize skew and insertion delay. Clock is not propagated before CTS as shown in Figure (1). Figure (1) Ideal clock b... Transition Delay and Propagation Delay Transition Delay Transition delay or
3/10

asic-soc.blogspot.com/2007/10/synthesis-constraints.html

2/19/13

ASIC-System on Chip-VLSI Design: Synthesis Constraints

->set_output_delay 0.40 [all_outputs]: Output delay of 0.4 is provided. If all outputs are registered this delay does not affect the timing analysis. I/O ports of the design become pads of the IC. Hence tool has to be informed about this so that it analyzes delay, area and power appropriately. This is done using command set_port_is_pad, which sets the I/O ports as pad and insert_pad, which inserts pad.
Search

slew is defined as the time taken by signal to rise from 10 %( 20%) to the 90 %( 80%) of its maximum ...

Reference
READ MORE...

[1] Himanshu Bhatnagar, Advanced ASIC chip Synthesis Using Synopsys Design Compiler, Physical Compiler and PrimeTime, Kluwer Academic Publishers, Second edition, 2002 [2] Design Compiler User Guide, Version X-2005.09, September 2005

Related Articles
Optimization Methodology Application of DFT technique DFT enabled circuit analysis and fault coverage
Tags: Synthesis

1 comment:
vinoth April 2, 2009 at 2:14 PM Is the code Synthesizable, if we use Switch Level Modelling in Verilog? In case of structural, behavioral and gate level modelling, the RTL is translated to GTECH representation which is technology independent. Then the logic is optimized and mapped to standard cells in technology library. The standard cells will be primitive gates, flops. AOI, OAI cells etc... I need to know whether any transistor components will be available in technology library. If the tech library does not have NMOS, PMOS and
asic-soc.blogspot.com/2007/10/synthesis-constraints.html

Verilog (28) Verilog HDL (26) verilog examples (26) verilog interview questions (26) verilog tutorial for beginners (26) verilog tutorials (26) DSP (22) HDL (19) Low
Power Techniques

There was an error in this gadget


BLOG ARCHIVE

2013 (1) 2012 (21) 2011 (7) 2010 (2) 2009 (14) 2008 (36) 2007 (109) December 2007 (23) November 2007 (10) October 2007 (54) 3-D chip design strategy Limits of Cu/low-k interconne cts In scan chains if
4/10

2/19/13

ASIC-System on Chip-VLSI Design: Synthesis Constraints

CMOS transistors, then how mapping will happen and the switch level modelling will properly get synthesized. Please let me know in this regard. Reply
Enter your comment...

Comment as: Google Account Publish Preview

(16) Verification (16) MATLAB (15) Timing Analysis (14) ASIC (13) Static Timing Analysis (STA) (11) DSP filters (10) FPGA (10) Physical Design (10) Digital design (9) Synthesis (9) CMOS (8)
Asynchronous FIFO (7) 3-D ICs (6) Basic gates using MUX (6) Digital filters (6) PIC Microcontroller (6) low power (6) PIC 16F877A (5) interview (5) Leakage Power (4) VLSI (4)
Design For TestDFT (3) Multi Vdd (3) Multi Vt (3) Power Planning (3) Reconfigurable Computing (3) Clock Tree Synthesis (CTS) (2) DVFS (2) Design For Test (DFT) (2) Floorplanning (2)

some flip flops are +ve edge tri... What is difference between normal buffer and clock... What is difference between HFN synthesis and CTS? Is it possible to have a zero skew in the design? What you mean by scan chain reordering ? On what basis we decide the clock frequency in any... What is JTAG? Limitations of the existing interconne ct technolog. .. Introduction to Interconn
5/10

Your Comments... (comments are moderated)

Links to this post


Create a Link Newer Post Home Older Post

Subscribe to: Post Comments (Atom)


SEARCH THIS BLOG

Search

asic-soc.blogspot.com/2007/10/synthesis-constraints.html

2/19/13

ASIC-System on Chip-VLSI Design: Synthesis Constraints

Libraries (2) New Devices (2) Placement (2) SPICE (2) SRAM cell design (2) SoC Design (2) SoC Integration (2) Synopsys (2) System on Chip (2) Transition delay (2) layout (2) 7 Segment
Display (1) (1) AMBA (1) Basic (1) (1) (1) (1) DTMF (1) AHB (1) AMBA APB AMBA AXI (1) AMBA Bus (1) ASIC synthesis C MOS C lock Microelectronics Design Gating

ect Technolog ies ASIC Design Check List Routing Clock Tree Synthesis (CTS) Placement Timing Analysis in Physical Design Digital design Interview Questions Power Planning Floor Planning Inputs outputs from physical design process Libraries In Physical Design Physical Design Flow Formal Verificatio n Example Hybrid Formal Verificatio
6/10

C lock definitions (1) C ongestion DFT Deep Design Dynamic EDA (1) Systems Power (1) C oreC onnect Bus (1) Sub Micron For (1) (1)

Issues (1) Delays (1) Manufacture-DFM (1) Embedded

Embedded etc (1) FFT (1) FIR Filter (1) Gate Delay (1) History of VLSI (1) (1) Internal IC Power Fabrication (1) Intel (1) Intrinsic Delay (1) Lynx Design System (1) Magma Design (1) (1) Memory

asic-soc.blogspot.com/2007/10/synthesis-constraints.html

2/19/13

ASIC-System on Chip-VLSI Design: Synthesis Constraints


Microprocessors Nangate (1) 45nm (1) cell

libraries (1) Net delay OpenSPARC processor (1) Others ..DSP (1) PVT vs STA (1) Power Gating (1) Propagation delay (1) Protocols (1) RTL (1) Routing (1) SDC (1) SRAM C hip (1) Short C ircuit (1) Array paths Voltage Sub (1) (1) Scaling (1) (1) jitter (1) (1) Power (1) Static memory design Threshold Timing VLSI (1) (1) free of hold (1) logic optical (1) skew fixing (1) (1) Leakage (1) Systolic

n Technique s Recent Advances in SAT Based Formal Verificatio n Satisfiability (SAT) Solvers Theorem proving Model Checking Equivalence Checking (EC) Binary Decision Diagram (BDD) Methodologi es in Formal Verificatio n Concept of Formal Verificatio n Why Formal Verificatio n? IC Fabricatio n

fabrication

Washing Machine (1) constraints download processor time (1) latency synhesis

lithography time (1)

optimization (1) setup transition transition

violation

(1) uncerainty (1)

Verification Interview Questions


asic-soc.blogspot.com/2007/10/synthesis-constraints.html 7/10

2/19/13

ASIC-System on Chip-VLSI Design: Synthesis Constraints

CMOS Design Interview Questions Others Design For Manufactu re-DFM Physical Design Interview Questions ASIC General Layout Inerview Questions Design For Test-DFT Timing Analysis Interview Questions FPGA Interview Questions Synthesis Interview Questions Verilog Interview Questions Basic Microelectr onics Interview Questions Introduction to Formal Verificatio n
asic-soc.blogspot.com/2007/10/synthesis-constraints.html 8/10

2/19/13

ASIC-System on Chip-VLSI Design: Synthesis Constraints

Verilog Code for Systolic Array Matrix Multiplier VLSI FAQ ... just the beginning ! DFT enabled circuit analysis and fault coverage Application of DFT technique Optimization Methodolo gy Synthesis Constraint s September 2007 (14) July 2007 (6) June 2007 (2)

There was an error in this gadget

COPYRIGHT/DISCLAIMER

LISTED IN

asic-soc.blogspot.com/2007/10/synthesis-constraints.html

9/10

2/19/13

ASIC-System on Chip-VLSI Design: Synthesis Constraints

This work is licensed under a Creative Commons Attribution-Share Alike 2.5 India License. "Nahi Jnanena Sadrusham". Simple template. Powered by Blogger.

asic-soc.blogspot.com/2007/10/synthesis-constraints.html

10/10

Você também pode gostar