Você está na página 1de 51

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

A MINI PROJECT REPORT ON


DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT A mini project report submitted to The Jawaharlal Nehru Technological University In partial fulfillment for the award of the Degree of Bachelor of Technology In Electronics and Communication Engineering
Submitted by Name K.RAJU B.PRAMOD KUMAR K.MOHAN KRISHNA P.SUDHEERACHARY Regd No 097Z1A0416 097Z1A0405 097Z1A0421 097Z1A0430

Department of Electronics and Communication Engineering

Nalla Narasimha Reddy Education Societys Group of Institutions


(Approved by AICTE and Affiliated to JNTU,Hyderabad) Chowdariguda, Korremula, Ghatkesar-500 038 2012-2013

ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

Department of Electronics and Communication Engineering Nalla Narasimha Reddy Education societys Group of Institutions
(Approved by AICTE and Affiliated to JNTU, Hyderabad) Chowdariguda, Korremula, Ghatkesar-500 038 2012-2013

CERTIFICATE
This is to certify that the mini project work entitled DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT is submitted by K.Raju (097Z1A0416), B.Pramod kumar (097Z1A0405), K.Mohan Krishna(097Z1A0421), P.Sudheera chary (097Z1A0430) under my supervision and guidance for the award of Bachelor of Technology in Electronics And Communication Engineering from Jawaharlal Nehru Technological University, Hyderabad during the academic year 2012-2013. The Project work has not been submitted to any other university or institution for the award of any degree.

Internal Guide Mr.Y.Devender Reddy Assistant Professor

Head of the Department Mr.P.S.Sreenivasa Reddy Associate Professor

ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT
ACKNOWLEDGEMENT

I express my gratitude to my institution NALLA NARASHIMA REDDY EDUCATION SOCIETYS GROUP OF INSTITUTIONS.I wish to place on record my sincere thanks to Dr.C.V.Krishna Reddy, Director and Dr.G.Janardhana Raju, Dean of Engineering for providing me means of attaining my cherished goals. I thank Mr.P.S.Sreenivasa Reddy, Associate Professor, Head of the department of Electronics and communications for inspiring me to take up a project on this subject and successfully guiding me towards its completion. I also acknowledge the immense contribution made by internal guide

(Mr.Y.Devender Reddy, Assistant Professor). I would like to thank my faculty members for their immense support, valuable suggestions, and encouragement. I would like to thank my family members who have helped me all through the project and without whom this I would have not been successful. I extend my sincere gratitude to Uppal Industries Association (UIA) for their high degree of encouragement and moral support during the course of the project. I would like to take this opportunity to thank everyone who has contributed directly to my project.

K.RAJU B.PRAMOD KUMAR K.MOHAN KRISHNA P.SUDHEERACHARY

097Z1A0416 097Z1A0405 097Z1A0421 097Z1A0430

ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY SMALL AIRCRAFT
CONTENTS
Synopsis List of Figures

FEATURES FOR

Chapter No. 1 1.1 1.2 1.3 1.3.1 1.3.2 1.4 1.4.1 1.5 1.6 2 2.1 2.2 2.3 2.3.1 2.3.2 2.3.3 2.3.4

Description

Page No. 01 02 03 04 04 04 05 05 06 08 09 10 12 12 12 13 14 14

Auto Flight System Introduction to Auto Flight System Auto Flight System Autopilot First Autopilots The Invention Of Autopilot Computer System Details Categories Global Positioning System (GPS) Air Traffic Control (ATC) System Autopilot Control Systems Autopilot Control Systems Commands in the Flight Management System Command Types Command: Direct to Waypoint Command: Track to Waypoint Command: Jump Command: Circle

ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

2.3.5 2.3.6 2.3.7 2.4 2.5 3 3.1 3.2 3.3 3.4 4 4.1 4.2

Command: Take Off Command: Landing Command: Set FMS Mode Flight Management System Modes Autopilot Controller

15 15 16 16 17 18 19 19 20 21 22 23 24 26 27 31 41

Autopilot Parts Autopilot Parts Autopilot Failure Modern Autopilots Modern Autopilot Systems VHDL VHDL Description Levels of Representation and Abstraction

Conclusion and Future scope Results Appendix Bibliography

ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT
SYNOPSIS
The automatic flight system (AFS) installed in the aircraft reduces the workload of the pilots and helps improving the safety and the regularity of the flight. The AFS calculates

orders to steer the aircraft automatically for the origin to destination. It computes the orders and sends them to the Electrical Flight Control System (EFCS) and to the full authority Digital Engine Control (FADEC) to control flight control surfaces and engines. This work simulates the primary functions of AFS in different phases of a flight and implements the features of AFS with additional safety features using conventional microcontrollers. The sensed physical parameters are converted to the electrical parameters by suitable transducers and then the calibrated data is processed by the microcontroller with the same logic available in the state of the art AFS. This output data can be used for the control of actuators of the aircrafts flight control surfaces. The design can be used in small aircraft and unmanned aerial vehicles. The project is implemented using VHDL language on Xilinx 7.1i ISE simulator. This is simulated on ISE simulator and synthesized using XST synthesizer. This chip helps to reduce the load of the pilot by taking the necessary action during navigation. Different parameters considered are present latitude and longitude information, temperature, speed of the aircraft, transponder, wind shear etc to decide the direction of the flight. This can also be implemented by using microcontroller.

ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT
LIST OF FIGURES
Figure No. 1.1 1.2 1.3.1 1.5.1 1.5.2 1.5.3 1.6 2.1.1 2.1.2 2.3.1.1 2.3.1.2 2.3.1.3 2.3.2.1 2.3.2.2 2.3.4 2.3.5 2.3.6 2.3.7 2.4 3.2 3.4 4.2.1
ECE DEPARTMENT, NNRG

Description Auto Flight System Block diagram of AFS

Page No. 02 03 04 06 07 08 08 10 11 12 13 13 13 14 14 15 15 16 16 19 21

An early autopilot system in an Avro 19 plane, circa GPS-Spatial Segment GPS-Control Segment GPS-User Segment ATC System Presentation Autopilot Control System Top-Level Classes in the Autopilot System Direct To Waypoint Diagram Direct To Waypoint Class Direct To Waypoint Command FMS Modes Track to Waypoint Diagram Track to Waypoint FMS Mode State Diagram Circle Waypoint FMS Mode State Diagram Takeoff FMS Mode State Diagram Landing Command FMS Mode State Diagram Set FMS Mode Command Class Diagram CMD Altitude Mode State Diagram Autopilot Digital Vision The newest autopilots can execute an entire flight plan

Abstraction Levels: Behavioral, Structural and Physical 24

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

4.2.2

Structural representation of a buzzer circuit

25 27 27 27 28 28 28 29 29 30

Simulation Results 1 2 3 AC and Take Off Transponder Landing

Synthesis Results 1 2 3 4 Pin Diagram RTL Schematic Technology Schematic Gate Level Circuit

ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

OBJECTIVE
The main objective is to design an auto flight system, which is used in the Unmanned Aerial vehicles and in small aircrafts as a cost effective measure and fly the aircraft automatically. This work is based on embedded system with suitable microcontroller in it. Here it is required to simulate the primary functions of AFS, in different phases of the flight, thus calculating and tracking the aircraft position. This is achieved by sensing all the physical parameters, which are converted to electrical form by suitable transducers. These electrical parameters are then converted into digital form by A/D converter which has an inbuilt Multiplexer (MUX) that selects the inputs and gives to the microcontroller (AT89S51) that produces the data with the same logic available in AFS. The output data from the microcontroller is used to activate and control relay, stepper motor and LCD display.

ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

LIST OF ABBREVIATION AND ACRONYMS


AFS EFCS GPS ATCS TCAS ACAS EFIS FMS Automatic Flight System Electrical Flight Control System Full Authority Digital Engine Control Global Positioning System Air Traffic Control System Traffic Alert And Collision Avoidance System Airborne Collision Avoidance System Electronic Flight Instrument System Flight Management System VHSIC hardware description language very high speed integrated circuit

FADEC -

VHDL VHSIC -

ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

CHAPTER: 1 AUTO FLIGHT SYSTEM

1
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

1.1 INTRODUCTION TO AUTO FLIGHT SYSTEM


The AFS in aircraft is designed to reduce the workload of the flying crew, improve regularity of the flight and to improve safety of the flight. The basic functions of the AFS are yaw damper, rudder trim, rudder travel limitation, flight envelope protection etc. From the take off to landing and up to bringing the aircraft to the parking bay, all the functions done by the pilot can be automated and the computation can be programmed to do the functions. The complete auto flight systems can be classified into two main systems. Flight management guidance systems. Flight augmentation systems.

The automatic flight system (AFS) calculates orders to automatically control the flight controls and engines. It computes orders and sends them to the Electrical Flight Control Systems (EFCS) to control the flight controls and to the Full Authority Digital Engine Control (FADEC) to control the engines. The way to use AFS is to follow the flight plan automatically knowing the position of the aircraft and the flight plan chosen by the pilot. The schematic of the AFS of a modern aircraft is shown in the figure1.1.

Fig.1.1 Auto Flight System The basic functions of the Flight augmentation computers (FAC) are the rudder control and the flight envelope protection. The basic functions of the Flight Augmentation Computer (FAC) are: Yaw Damper Rudder trim Rudder travel Limitation Flight Envelope Protection. 2
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

1.2 AUTO FLIGHT SYSTEM


The main objective is to design an auto flight system, which is used in the Unmanned Aerial vehicles and in small aircrafts as a cost effective measure and fly the aircraft automatically. This work is based on embedded system with suitable microcontroller in it. Here it is required to simulate the primary functions of AFS, in different phases of the flight, thus calculating and tracking the aircraft position. These electrical parameters are then converted into digital form by A/D converter which has an inbuilt Multiplexer (MUX) that selects the inputs and gives to the microcontroller (AT89S51) that produces the data with the same logic available in AFS. The output data from the microcontroller is used to activate and control relay, stepper motor and LCD display.

Fig.1.2 Block diagram of AFS The main components involved in this are sensors, ADC, Microcontroller unit, clock and oscillator circuit, relay, buzzer and stepper motor. The four sensors pressure, temperature, vibration and potentiometer senses the physical parameters. a) Pressure: Though which the height and the speed of the aircraft can be calculated. b) Temperature: The outside temperature can be determined and also the compensation for pressure signals can be given. c) Potentiometer: This is used to find the angle of attack.

3
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

1.3 AUTOPILOT
1.3.1 FIRST AUTOPILOTS In the early days of aviation, aircraft required the continuous attention of a pilot in order to fly safely. As aircraft range increased allowing flights of many hours, the constant attention led to serious fatigue. An autopilot is designed to perform some of the tasks of the pilot. The first aircraft autopilot was developed by Sperry Corporation in 1912. Lawrence Sperry (the son of famous inventor Elmer Sperry) demonstrated it two years later in 1914, and proved the credibility of the invention by flying the aircraft with his hands away from the controls and visible to onlookers. Further development of the autopilot was performed, such as improved control algorithms and hydraulic servomechanisms. Also, inclusion of additional instrumentation such as the radio-navigation aids made it possible to fly during night and in bad weather. The secret to his success, or at least one of his secrets, was a simple autopilot that steered the plane while he rested.

Fig-1.3.1 An early autopilot system in an Avro 19 plane, circa.

1.3.2 THE INVENTION OF AUTOPILOT Famous inventor and engineer Elmer Sperry patented the gyrocompass in 1908, but it was his son, Lawrence Burst Sperry, who first flight-tested such a device in an aircraft. The younger Sperry's autopilot used four gyroscopes to stabilize the airplane and led to many flying firsts, including the first night flight in the history of aviation. In 1932, the Sperry Gyroscope Company developed the automatic pilot that Wiley Post would use in his first solo flight around the world.

4
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

1.4 COMPUTER SYSTEM DETAILS


Software and hardware in an autopilot is tightly controlled, and extensive test procedures are put in place. Some autopilots also use design diversity. In this safety feature, critical software processes will not only run on separate computers (possibly even using different architectures), but each computer will run software created by different engineering teams, often being programmed in different programming languages. As the software becomes more expensive and complex, design diversity is becoming less common because fewer engineering companies can afford it. The flight control computers on the Space Shuttle use the following design: there are five computers, four of which redundantly run identical software, and a fifth backup running software that was developed independently. The software on the fifth system provides only the basic functions needed to fly the Shuttle, further reducing any possible commonality with the software running on the four primary systems. 1.4.1. CATEGORIES Instrument-aided landings are defined in categories by the International Civil Aviation Organization. These are dependent upon the required visibility level and the degree to which the landing can be conducted automatically without input by the pilot. CAT I - This category permits pilots to land with a decision height of 200 ft (61 m) and a forward visibility or Runway Visual Range (RVR) of 550 m. Simplex autopilots are sufficient. CAT II - This category permits pilots to land with a decision height between 200 ft and 100 ft ( 30 m) and a RVR of 350 m. Autopilots have a fail passive requirement. CAT IIIa -This category permits pilots to land with a decision height as low as 50 ft (15 m) and a RVR of 200 m. It needs a fail-passive autopilot. There must be only a 106 probability of landing outside the prescribed area. CAT IIIb - As IIIa but with the addition of automatic roll out after touchdown incorporated with the pilot taking control some distance along the runway. This category permits pilots to land with a decision height less than 50 feet or no decision height and a forward visibility of 250 ft (76 m, compare this to aircraft size, some of which are now over 70 m long) or 300 ft(91 m) in the United States. For a landingwithout-decision aid, a fail-operational autopilot is needed.

5
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

CAT IIIc:- As IIIb but without decision height or visibility minimums, also known as "zero-zero".

1.5 GLOBAL POSITIONING SYSTEM (GPS)


The NAV System Time and Ranging (STAR) GPS is a worldwide navigation radio aid which uses satellite signals to provide accurate navigation information. The architecture of the system is composed of 3 parts called segments: 1. Spatial segment, Spatial Segment:The spatial segment is composed of a constellation of 24 satellites. These satellites are arranged in six separate orbital planes of four satellites each on a circular orbit. These orbits have the following characteristics: 55 inclination to the Equator, An altitude of approximately 20-200 km with an orbital period of 12 sidereal hours. 2. Control segment, 3. User segment.

These satellites give:The satellite position (ephemeris of the constellation). The constellation data (almanach). The atmospheric corrections.

Fig.1.5.1 GPS-Spatial Segment

6
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

Control Segment:The control segment is composed of four monitor stations and one master control station which track the satellites, compute the ephemeris, correct the clock and control the navigation parameters and transmit them to the GPS users. The four monitor stations are located at: Kwajalein (Marshall Islands in Pacific Ocean), Hawaii (Pacific Ocean), Ascension Island (Atlantic Ocean), Diego Garcia (Indian Ocean).

The master control station is located at Colorado Springs (USA).

Fig.1.5.2 GPS-Control Segment. User Segment:The principle of GPS position computation is based on the measurement of transmission time of the GPS signals broadcast by at least four satellites. This segment is constituted by the GPS receiver and allows: signal acquisition, distance calculation, Navigation computation (Satellite choice, positioning, propagation corrections), Detection and isolation of failed satellites.

7
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

Fig.1.5.3 GPS-User Segment

1.6 AIR TRAFFIC CONTROL (ATC) SYSTEM


The Air Traffic Control (ATC) transponder is an integral part of the Air Traffic Control Radar Beacon (ATCRB) system. The transponder is interrogated by radar pulses received from the ground station. It automatically replies by a series of pulses. These reply pulses are coded to supply: Aircraft identification, Automatic altitude reporting and, Selective calling and transmission of flight data of the aircraft on the ground controller's radar scope. These replies enable the controller to distinguish the aircraft and to maintain effective ground surveillance of the air traffic. The ATC transponder (Mode S) also responds to interrogations from aircraft equipped with a Traffic Alert and Collision Avoidance System (TCAS).

Fig.1.6 ATC System Presentation 8


ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

CHAPTER: 2 AUTOPILOT CONTROL SYSTEMS

9
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

2.1

AUTOPILOT CONTROL SYSTEMS


An autopilot is an example of a control system. Control systems apply an

action based on a measurement and almost always have an impact on the value they are measuring. A classic example of a control system is the negative feedback loop that controls the thermostat in your home. Such a loop works like this: It's summertime, and a homeowner sets his thermostat to a desired room temperature say 78F. The thermostat measures the air temperature and compares it to the present value. Over time, the hot air outside the house will elevate the temperature inside the house. When the temperature inside exceeds 78F, the thermostat sends a signal to the air conditioning unit. The air conditioning unit clicks on and cools the room. When the temperature in the room returns to 78F, another signal is sent to the air conditioner, which shuts off. It's called a negative feedback loop because the result of a certain action (the air conditioning unit clicking on) inhibits further performance of that action. All negative feedback loops require a receptor, a control center and an effector. In the example above, the receptor is the thermometer that measures air temperature. The control center is the processor inside the thermostat. And the effector is the air conditioning unit.

Fig.2.1.1 Autopilot Control System Automated flight control systems work the same way. Let's consider the example of a pilot who has activated a single-axis autopilot the so-called wing leveler mentioned earlier. 1. The pilot sets a control mode to maintain the wings in a level position. 2. However, even in the smoothest air, a wing will eventually dip.

10
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

3. Position sensors on the wing detect this deflection and send a signal to the autopilot computer. 4. The autopilot computer processes the input data and determines that the wings are no longer level. 5. The autopilot computer sends a signal to the servos that control the aircraft's ailerons. The signal is a very specific command telling the servo to make a precise adjustment. 6. Each servo has a small electric motor fitted with a slip clutch that, through a bridle cable, grips the aileron cable. When the cable moves, the control surfaces move accordingly. 7. As the ailerons are adjusted based on the input data, the wings move back toward level. 8. The autopilot computer removes the command when the position sensor on the wing detects that the wings are once again level. 9. The servos cease to apply pressure on the aileron cables.

FMS -m_commandList[] : Command -m_pActiveCommand : Command* -m_timeInCommand_sec : double +Update() AutopilotSystem -m_fms : FMS -m_controller : Controller -m_vehicleState : VehicleState +OnComponentUpdate() +OnComponentReset() +OnComponentInit() +OnComponentTerminate() Command +Init() +Update() +IsComplete() : bool

1 0..*

Controller +Update() VehicleState -m_position_enuft[3] -m_orientationEuler_rad[3] -m_indicatedAirspeed_fps[1] -m_altitude_sonarFt[1]

Fig.2.1.2 Top-Level Classes in the Autopilot System

11
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

2.2 COMMANDS IN THE FLIGHT MANAGEMENT SYSTEM


The flight management system class is a state machine that controls the continuous feedback control system in the controller object. The FMS is responsible for maintaining a database of mission program, keeping track of the mission program execution, and setting the modes of the controller objects feedback loops. The mission program is implemented Command objects, which are stored in a programmable list in the FMS. The command list is an ordered list of commands that can be programmed on the ground, or reprogrammed while the aircraft is in the air. In

implementation, the Command class is a virtual interface class, from which specific types of command classes inherit. All commands implement the interfaces defined by the base class, which includes methods to initialize their internal state, update their internal state at each On Component Update() callback, and to notify the FMS when the command objectives have been met. Controller commands can be programmed through the Reflection Script language, which interprets text-based commands into binary code which is passed to the Reflection Virtual Machine.

2.3 COMMAND TYPES


2.3.1 Command: Direct To Waypoint The Direct to Waypoint class controls the vehicle mostly through heading commands towards a specified 3D waypoint. When initiated, the Direct To Waypoint stores the initial position of the aircraft and the position of the next waypoint (if there is one). Depending on the transition mode, the command uses this information to determine the size of the waypoint radius based on current aircraft speed to allow a turn to the next waypoint without overshoot, and to determine if the aircraft has passed the waypoint based on a 2D axis perpendicular to the line from the initial position to the waypoint position, and passing through the waypoint.
Waypoint Position
Perpendicular Axis Transition

ycom

Radius Transition

Initial Position

Fig. 2.3.1.1 Direct To Waypoint Diagram 12


ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

DirectToWaypoint Command +Init() +Update() +IsComplete() : bool -m_position_enuft : double -m_speed_fps : double -m_radius_ft : double -m_initPosition_enuft : double -m_nextWaypointPos_enuft -m_isRadiusEnabled : bool -m_isPerpAxisEnabled : bool -m_isDynamicRadiusEnabled

Fig-2.3.1.2 Direct To Waypoint Class The Direct To Waypoint command sets the lateral FMS mode to Fly To Waypoint, and outputs a heading command. The longitudinal mode and speed mode are selected based on the waypoint command; the longitudinal controls or the speed controls can be used to control either airspeed, altitude, or follow the vertical track between waypoints. Having throttle control altitude is the default, for safety if the engine fails.
Command Lateral
DirectToWaypoint

Longitudinal
[Lon Mode in Waypoint]

Speed
[Spd Mode in Waypoint]

Direct To Waypoint

Update/ calculate heading to waypoint

CmdSpeed CmdAltitude

CmdSpeed CmdAltitude CmdVertTrack

Transition Criteria CmdVertTrack

Fig.2.3.1.3 Direct To Waypoint Command FMS Modes 2.3.2 Command: Track to Waypoint The Track to Waypoint command is similar to the Direct to Waypoint command, except that the aircraft is controlled to fly the track from the previous waypoint to the next waypoint, rather than heading straight to the waypoint. Similar to the Direct to Waypoint command, the transition to the next waypoint can be triggered by penetration of the perpendicular axis or the transition radius, which can be calculated based on the current aircraft state and the performance characteristics of the aircraft (turning radius).
Perpendicular Axis Transition Radius Transition

Switch to Heading Hold

Previous Waypoint

CrossTrack Error

Projected Path

ycom y

Active Waypoint

Transition To Next Command

Next Waypoint

Fig-2.3.2.1 Track to Waypoint Diagram 13


ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

The Track to Waypoint commands the Track To Waypoint lateral FMS mode. The longitudinal mode is set to Altitude Command.

Command

Lateral
TrackToWaypoint

Longitudinal
[Lon Mode in Waypoint]

Speed
[Spd Mode in Waypoint]

Track To Waypoint

Update/ calculate cross-track error

CmdSpeed CmdAltitude Transition Criteria CmdVertTrack

CmdSpeed CmdAltitude CmdVertTrack

Fig. 2.3.2.2 Track to Waypoint FMS Mode State Diagram The manner in which the altitude is captured can be set by the waypoint. Immediate altitude capture is the default, where the aircraft is controlled within the safety limits of the controller to attain the altitude as soon as possible. The glide slope mode will have the aircraft follow the slope between waypoints, and is used for instance in the final approach leg during a landing. 2.3.3 Command: Jump The Jump command instigates an immediate transition to another command in the list. This control is used for instance to repeat a sequence of commands. There are no FMS modes associated with the Jump command. 2.3.4 Command: Circle The Circle command controls the aircraft to fly a circle pattern of a given radius about a waypoint. The lateral PID mode is set to Circle, the longitudinal mode set to Altitude Command.
Command Lateral
Circle
Update/ calculate distance to circle

Longitudinal
[Lon Mode in Waypoint]

Speed
[Spd Mode in Waypoint]

Circle

CmdSpeed CmdAltitude Transition Criteria CmdVertTrack

CmdSpeed CmdAltitude CmdVertTrack

Fig.2.3.4 Circle Waypoint FMS Mode State Diagram

14
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

2.3.5 Command: Take Off The Take Off command provides commands for an autonomous takeoff sequence. The FMS modes are set to a dedicated Take Off mode, which provide full throttle to the aircraft while maintaining heading on the runway based on steering and rudder inputs, as rudder and steering inputs are ganged together on the same servo command line. Once the rotation takeoff speed is reached, the aircraft performs a climb longitudinal maneuver while maintain lateral wings level, until a safe turning altitude is reached.

Command

Lateral
GroundTrack
Update/ Output heading target and cross-track error

Longitudinal

Speed

CmdElevator
Neutral command

CmdThrottle
Takeoff Throttle Setting

Takeoff

Sonar Altitude > Ground Altitude CmdRoll


Wings level command

Sonar Altitude > Ground Altitude CmdPitch


Command constant pitch orientation.

Altitude > Takeoff Altitude

Fig-2.3.5 Takeoff FMS Mode State Diagram 2.3.6 Command: Landing The Landing command is used for automated landings. The aircraft is commanded to maintain a track between waypoints and command a constant descent rate until the ground sonars pick up a reading from the ground, and the aircraft attains the specified distance to the ground. When the flare mode is executed, the elevators are used to command a nose up attitude of the aircraft while power is reduced, until the altitude notifies that the rear wheels are on the ground. The power is cut while the elevator is used to drop the front wheel.

Command

Lateral
TrackToWaypoint
Update/ Output heading target and cross-track error

Longitudinal
CmdPitch
GlideSlope Approach Phase

Speed
CmdVertTrack Sonar Altitude < Flare Altitude CmdThrottle
Min Throttle

Sonar Altitude < Flare Altitude CmdPitch


Flare Phase

Land
SonarAltitude < Ground Altitude TaxiTrack
Update/ Output heading target and cross-track error

Sonar Altitude < Ground Altitude CmdPitch


Touchdown, Wheel Down

Fig.2.3.6 Landing Command FMS Mode State Diagram

15
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

2.3.7 Command: Set FMS Mode The FMS Mode Timed will command the specified FMS mode until a transition condition is realized. This commands class structure is shown in Fig-2.3.7
enumeration eTransitionCondition +GT +EQ +LT enumeration eTrasitionVariable +AIRSPEED +ALTITUDE +SONAR_ALTITUDE +ROLL_ANGLE +PITCH_ANGLE +COMMAND_TIME +SYSTEM_TIME

SetFMSMode -m_fmsLatMode : eFMSLatMode -m_fmsLonMode : eFMSLonMode -m_fmsSpeedMode : eFMSSpeedMode -m_transitionVariable : eTrasitionVariable -m_transitionCondition : eTransitionCondition -m_transitionValue : double -m_latModeValue : double -m_lonModeValue : double -m_spdModeValue : double

Command +Init() +Update() +IsComplete() : bool

Fig.2.3.7 Set FMS Mode Command Class Diagram

2.4 FLIGHT MANAGEMENT SYSTEM MODES


The FMS receives command modes and targets from the active command, and outputs command instructions to the autopilot. Each mode is associated with one or more commands to the controller, and modes can be implemented as state machines. For instance, the cmd Altitude mode for both the lateral and longitudinal modes contains an internal state machine.
CmdAltitude AltitudeAttain
Entry/ Set PID modes LONmode = airspeed cmd SPDMode = altitude cmd Update/ Set PID inputs loninput = airspeed from current waypoint spdinput = altitude from current waypoint

[yes]

Altitude Different?

Altitude has been reached

AltitudeHold
Entry/ Set PID modes LONmode = altitude cmd SPDMode = airspeed cmd Update/ Set PID inputs loninput = altitude from current waypoint spdinput = airspeed from current waypoint

[no]

Fig.2.4 CMD Altitude Mode State Diagram The two state machines implementing an FMS mode and a command object could be integrated into a single machine; for instance, the Direct To Waypoint command uses the cmd altitude FMS state, but could implement the Altitude Attain/Altitude Hold FMS states explicitly using the same state machine model. The reason to have two state machines is to

16
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

help avoid redundant code. Since many different commands require the aircraft to attain then hold an altitude, this state machine was implemented as a common FMS control mode rather than duplicating the logic in several individual command machines.

2.5 AUTOPILOT CONTROLLER


The controller object is responsible for implementing the feedback control loops that command the aircraft. The FMS object commands the controller by first setting high level modes, the providing actual target data. Similar to the FMS, there are three modes associated with the controller: lateral modes, longitudinal modes, and speed modes. The controller uses a cascaded control structure mainly composed of proportionalderivative-integral (PID) controller transforms. Several different paths can lead from sensor inputs to actuator command outputs. Specific paths are associated with enumerated controller modes. Lateral modes control the ailerons and rudder, longitudinal modes control the

elevator and also affect the rudder, and speed modes control the throttle. Automatic pilots, or autopilots, are devices for controlling spacecraft, aircraft, watercraft, missiles and vehicles without constant human intervention. Most people associate autopilots with aircraft, so that's what we'll emphasize in this article. The same principles, however, apply to autopilots that control any kind of vessel. In the world of aircraft, the autopilot is more accurately described as the automatic flight control system (AFCS). An AFCS is part of an aircraft's avionics the electronic systems, equipment and devices used to control key systems of the plane and its flight. In addition to flight control systems, avionics include electronics for communications, navigation, collision avoidance and weather. The original use of an AFCS was to provide pilot relief during tedious stages of flight, such as high-altitude cruising. Advanced autopilots can do much more, carrying out even highly precise maneuvers, such as landing an aircraft in conditions of zero visibility.

17
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

CHAPTER: 3 AUTOPILOT PARTS

18
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

3.1 AUTOPILOT PARTS


The heart of a modern automatic flight control system is a computer with several high-speed processors. To gather the intelligence required to control the plane, the processors communicate with sensors located on the major control surfaces. They can also collect data from other airplane systems and equipment, including gyroscopes, accelerometers, altimeters, compasses and airspeed indicators. The processors in the AFCS then take the input data and, using complex calculations, compare it to a set of control modes. A control mode is a setting entered by the pilot that defines a specific detail of the flight. For example, there is a control mode that defines how an aircraft's altitude will be maintained. There are also control modes that maintain airspeed, heading and flight path. These calculations determine if the plane is obeying the commands set up in the control modes. The processors then send signals to various servomechanism units. A servomechanism, or servo for short, is a device that provides mechanical control at a distance. One servo exists for each control surface included in the autopilot system. The servos take the computer's instructions and use motors or hydraulics to move the craft's control surfaces, making sure the plane maintains its proper course and attitude.

3.2 AUTOPILOT FAILURE


Autopilots can and do fail. A common problem is some kind of servo failure, either because of a bad motor or a bad connection. A position sensor can also fail, resulting in a loss of input data to the autopilot computer. Fortunately, autopilots for manned aircraft are designed as a failsafe that is, no failure in the automatic pilot can prevent effective employment of manual override. To override the autopilot, a crew member simply has to disengage the system.

Fig.3.2AutopilotDigitalVision

19
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

Some airplane crashes have been blamed on situations where pilots have failed to disengage The automatic flight control system. The pilots end up fighting the settings that the autopilot is administering, unable to figure out why the plane wont do what they are asking it to do. This is why flight instruction programs stress practicing for just such a scenario. Pilots must know how to use every feature of an AFCS, but they must also know how to turn it off and fly without it. They also have to adhere to a rigorous maintenance schedule to make sure all sensors and servos are in good working order. Any adjustments or fixes in key systems may require that the autopilot be tweaked. For example, a change made to gyro instruments will require realignment of the settings in the autopilots computer.

3.3 MODERN AUTOPILOTS


Modern autopilots use computer software to control the aircraft. The software reads the aircraft's current position, and controls a Flight Control System to guide the aircraft. In such a system, besides classic flight controls, many autopilots incorporate thrust control capabilities that can control throttles to optimize the air-speed, and move fuel to different tanks to balance the aircraft in an optimal attitude in the air. Although autopilots handle new or dangerous situations inflexibly, they generally fly an aircraft with a lower fuelconsumption than a human pilot. The autopilot in a modern large aircraft typically reads its position and the aircraft's attitude from an inertial guidance system. Inertial guidance systems accumulate errors over time. They will incorporate error reduction systems such as the carousel system that rotates once a minute so that any errors are dissipated in different directions and have an overall nulling effect. Error in gyroscopes is known as drift. This is due to physical properties within the system, be it mechanical or laser guided, that corrupt positional data. The disagreements between the two are resolved with digital signal processing, most often a six-dimensional Kalman filter. The six dimensions are usually roll, pitch, yaw, altitude, latitude and longitude. Aircraft may fly routes that have a required performance factor, therefore the amount of error or actual performance factor must be monitored in order to fly those particular routes. The longer the flight the more error accumulates within the system. Radio aids such as DME, DME updates and GPS may be used to correct the aircraft position.

20
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

3.4 MODERN AUTOPILOT SYSTEMS


Many modern autopilots can receive data from a Global Positioning System (GPS) receiver installed on the aircraft. A GPS receiver can determine a plane's position in space by calculating its distance from three or more satellites in the GPS network. Armed with such positioning information, an autopilot can do more than keep a plane straight and level it can execute a flight plan.

Fig 3.4 The newest autopilots can execute an entire flight plan.

Most commercial jets have had such capabilities for a while, but even smaller planes are incorporating sophisticated autopilot systems. The Garmin G1000 delivers essentially all the capabilities and codes of a jet avionics system, bringing true automatic flight control to a new generation of general aviation planes.

21
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

CHAPTER: 4 VHDL

22
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

4.1

DESCRIPTION
VHDL is a fairly general-purpose language, and it doesn't require a simulator on

which to run the code. There are many VHDL compilers, which build executable binaries. It is possible to use VHDL to write a test bench that verifies the functionality of the design using files on the host computer. It is relatively easy for an inexperienced developer to produce code that simulates successfully but that cannot be synthesized into a real device. VHDL is not a case sensitive language. One can design hardware in a VHDL IDE (for FPGA implementation such as Xilinx ISE, Altera Quartus) to produce the RTL schematic of the desired circuit. The generated schematic can be verified using simulation software which shows the waveforms of inputs and outputs of the circuit after generating the appropriate test bench. To generate an appropriate test bench for a particular circuit or VHDL code, the inputs have to be defined correctly. The key advantage of VHDL when used for systems design is that it allows the behavior of the required system to be described (modeled) and verified (simulated) before synthesis tools translate the design into real hardware (gates and wires). Another benefit is that VHDL allows the description of a concurrent system (many parts, each with its own sub-behavior, working together at the same time). VHDL is a Dataflow language, unlike procedural computing languages such as BASIC, C, and assembly code, which all run sequentially, one instruction at a time. A final point is that when a VHDL model is translated into the "gates and wires" that are mapped onto a programmable logic device such as a CPLD or FPGA, and then it is the actual hardware being configured, rather than the VHDL code being "executed" as if on some form of a processor chip. HDL is the VHSIC Hardware Description Language. VHSIC is an abbreviation for Very High Speed Integrated Circuit. It can describe the behavior and structure of electronic systems, but is particularly suited as a language to describe the structure and behavior of digital electronic hardware designs, such as ASICs and FPGAs as well as conventional digital circuits. VHDL is the VHSIC Hardware Description Language. VHSIC is an abbreviation for Very High Speed Integrated Circuit. VHDL is a notation, and is precisely and completely defined by the Language Reference Manual (LRM). VHDL is an international standard,

23
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

regulated by the IEEE. Simulation and synthesis are the two main kinds of tools which operate on the VHDL language. VHDL does not constrain the user to one style of description. VHDL allows designs to be described using any methodology - top down, bottom up or middle out. VHDL can be used to describe hardware at the gate level or in a more abstract way. Successful high level design requires a language, a tool set and a suitable methodology. VHDL is the language; user can choose the tools, and the methodology.

4.2 LEVELS OF REPRESENTATION AND ABSTRACTION


A digital system can be represented at different levels of abstraction. This keeps the description and design of complex systems manageable. Figure 5.8 shows different levels of abstraction.

Fig.4.2.1 Levels of abstraction: Behavioral, Structural and Physical The highest level of abstraction is the behavioral level that describes a system in terms of what it does (or how it behaves) rather than in terms of its components and interconnection between them. A behavioral description specifies the relationship between the input and output signals. This could be a Boolean expression or a more abstract description such as the Register Transfer or Algorithmic level. As an example, let us consider a simple circuit that warns car passengers when the door is open or the seatbelt is not used whenever the car key is inserted in the ignition lock At the behavioral level this could be expressed as,

24
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

Warning = Ignition on AND (Door open OR Seatbelt off) The structural level, on the other hand, describes a system as a collection of gates and components that are interconnected to perform a desired function. A structural description could be compared to a schematic of interconnected logic gates. It is a representation that is usually closer to the physical realization of a system. For the example above, the structural representation is shown in Figure 5.9 below.

Fig.4.2.2 Structural representation of a buzzer circuit. VHDL allows one to describe a digital system at the structural or the behavioral level. The behavioral level can be further divided into two kinds of styles: Data flow and Algorithmic. The dataflow representation describes how data moves through the system. This is typically done in terms of data flow between registers (Register Transfer level). The data flow model makes use of concurrent statements that are executed in parallel as soon as data arrives at the input. On the other hand, sequential statements are executed in the sequence that they are specified. VHDL allows both concurrent and sequential signal assignments that will determine the manner in which they are executed.

25
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

CONCLUSION
In this project an effort has been made to reduce the work load of the pilots and helps improving the safety and the regularity of the flight.. The design of this program is mainly applicable for small aircraft. VHDL programming is more efficient and can generate RTL schematic. The basic tools required for IC can be known through this programming. The objective is to design an auto flight system, which is used in the Unmanned Aerial vehicles and in small aircrafts as a cost effective measure and fly the aircraft automatically.

26
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

RESULTS Simulation Results:

Fig.1 Simulation Results: AC and Take Off

Fig.2 Simulation Results:Transponder 27


ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

Fig.3 Simulation Results:Landing

Synthesis Results:

Fig.1 Synthesis results: pin diagram

28
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

Fig.2 Synthesis results: RTL(Register Transfer Level) schematic

Fig.3 Synthesis results: Technology schematic

29
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

Fig.4 Synthesis results: Gate Level Circuit

30
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

APPENDIX Hardware Description Languages (HDL):


Designers use Hardware Description Languages (HDLs) to describe the behavior and structure of system and circuit designs. 1. A general overview of designing FPGA devices with HDLs. 2. System requirements and installation instructions for designs available from the web. 3. A brief description of why FPGA devices are superior to ASIC devices.

Advantages of Using HDLs to Design FPGA Devices:


Using HDLs to design high-density FPGA devices has the following advantages: 1. Top-Down Approach for Large Projects 2. Functional Simulation Early in the Design Flow 3. Synthesis of HDL Code to Gates 4. Early Testing of Various Design Implementations 5. Reuse of RTL Code.

Designing Hierarchy:
Effects on end optimization. For example: Certain techniques may unnecessarily increase the design size and power while decreasing performance. Other techniques can result in more optimal designs in terms of any or all of those same metrics.

Design hierarchy is important in both the implementation of an FPGA and during


interactive changes.

Simulating The Design:


The two leading HDL synthesis and simulation languages today are Verilog and VHDL. Both of these languages have been adopted as IEEE standards. The Xilinx software is designed to be used with several HDL synthesis and simulation tools that provide a solution for programmable logic designs from beginning to end. The Xilinx software provides libraries, netlist readers, and netlist writers, along with powerful place and route software that integrates with your HDL design environment on PC, Linux, and UNIX workstation platforms.

31
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

Test Benches:
A test bench is HDL code written for the simulator that: 1. 2. 3. Instantiates the design netlists. Initializes the design. Applies stimuli to verify the functionality of the design. Also set up the test bench to display the desired simulation output to a file, Waveform or screen. A test bench can be very simple in structure and sequentially apply stimulus to specific inputs. A test bench can also be very complex, and include: 1. 2. 3. 4. Subroutine calls Stimulus read in from external files Conditional stimulus Other more complex structures

The test bench has several advantages over interactive simulation methods: 1. 2. It allows repeatable simulation throughout the design process. It provides documentation of the test conditions.

Creating a Test Bench in ISE Tools:


The ISE tools create a template test bench containing the proper structure, library References, and design instantiation based on the design files from Project Navigator. This greatly eases test bench development at the beginning stages of the design. Creating a Test Bench in Waveform Editor Use Waveform Editor to automatically create a test bench by drawing the intended stimulus and the expected outputs in a waveform viewer.

32
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

IMPLEMENTATION OF VHDL CODE FOR AUTOFLIGHT SYSTEM VHDL Code:


library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; Entity autopilot is Port ( opt_temp:in integer; engine_speed:in integer; max_engine_speed:in integer; opt_long_p_takeoff:in integer; opt_lati_p_takeoff:in integer; opt_long_p_landing:in integer; opt_lati_p_landing:in integer; opt_takeoff_speed:in integer; opt_landing_speed:in integer; transponder:in integer; trans:in integer; destination:in integer; dest:in integer; opt_lati_p:in integer; max_lati_p:in integer; max_long_p:in integer; opt_long_p:in integer; long_pp:in integer; lati_pp:in integer; temp:in integer; travel:in integer; increase_engine_speed:out std_logic; decrease_engine_speed:out std_logic; takeoff:out std_logic; landing:out std_logic; climbup:out std_logic;

33
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

descent:out std_logic; takedivr:out std_logic; takedivl:out std_logic; Ac:out std_logic); end autopilot; Architecture behavioral of autopilot is begin process(opt_temp,temp,engine_speed,transponder,opt_lati_p,opt_long_p,opt_l ong_p_takeoff,opt_lati_p_takeoff,opt_long_p_landing,opt_lati_p_landing,opt_takeoff_speed, opt_landing_speed,long_pp,lati_pp,max_lati_p,destination,max_engine_speed,max_long_p,d est,trans, travel) variable opt_temp, temp, engine_speed, opt_engine_speed, max_engine_speed, opt_long_p_takeoff, opt_lati_p_takeoff, opt_long_p_landing, opt_lati_p_landing, opt_takeoff_speed, destination, dest, transponder, trans, travel, opt_lati_p, max_lati_p, max_long_p, opt_long_p, long_pp, lati_pp, opt_landing_speed: integer; begin 34
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

opt_temp:=78; opt_engine_speed:=120; max_engine_speed:=600; opt_long_p_takeoff:=12; opt_lati_p_takeoff:=22; opt_long_p_landing:=18; opt_lati_p_landing:28; opt_takeoff_speed:=420; opt_landing_speed:=220; opt_lati_p:=32; max_lati_p:=42; max_long_p:=48; opt_long_p:=38; dest:=1; trans:=1; travel:=1; takeoff:='0'; landing:='0'; climbup:='0'; decent:='0';

-- temperature if(temp > opt_temp) then Ac<='1'; elsif end if; -- Takeoff if(engine_speed=opt_takeoff_speed and long_pp=opt_long_p_takeoff and lati_pp=opt_lati_p_takeoff) then takeoff<='1'; travel:=0; elsif (travel=1); Ac<='0';

if(engine_speed < opt_takeoff_speed)then increase_engine_speed<='1'; 35


ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

decrease_engine_speed<='0'; end if; if(long_pp<opt_long_p_takeoff) then climbup<= '1' ; descent<='0'; elsif(long_pp>opt_long_p_takeoff)then climbup<= '1' ; descent<='0'; end if; end if; -- DIVERSION if(engine_speed>opt_takeoff_speed or engine_speed=opt_takeoff_speed) then if(lati_pp<opt_lati_p) then takedivr<= '1' ; takedivl<='0'; elsif(lati_pp=max_lati_p)then takedivr<= '1' ; takedivl<='0'; end if; end if; -- CLIMB AND DESCENT if (engine_speed=opt_takeoff_speed or engine_speed>opt_takeoff_speed) then if(long_pp<opt_long_p) then climbup<='1' ; descent<='0'; elsif(long_pp=max_long_p or long_pp>opt_long_p)then climbup<='1'; descent<='0'; end if; end if; ---- SPEED if( engine_speed = max_engine_speed) then increase_engine_speed<='0'; 36
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

decrease_engine_speed<='1'; elsif(engine_speed=opt_takeoff_speed)then decrease_engine_speed<='0'; increase_engine_speed<='1'; end if; --Transponder - if(transponder=wind sheer)then climbup<='0'; descent<='1'; end if;

--landing - if(destination=dest)then takeoff<='0'; if(engine_speed=opt_landing_speed and long_pp=opt_long_p_landing and lati_pp=opt_lati_p_landing) then landing<='1'; decrease_engine_speed<='1'; elsif(engine_speed > opt_landing_speed)then increase_engine_speed<='0'; decrease_engine_speed<='1'; end if; if(lati_pp>opt_lati_p_landing) then takedivr<='1'; takedivl<='0'; end if; if(long_pp<opt_long_P_landing)then climbup<='1'; descent<='0'; end if; end if; end if; end process;

37
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

end behavioural;

VHDL Test Bench:


LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.ALL; ENTITY tb_aaa_vhd IS END tb_aaa_vhd; ARCHITECTURE behavior OF tb_aaa_vhd IS

------ Component Declaration for the Unit Under Test (UUT) COMPONENT autopilot is PORT( opt_temp ,engine_speed,max_engine_speed : IN integer; opt_long_p_takeoff ,opt_lati_p_takeoff : IN integer; opt_long_p_landing,opt_lati_p_landing : IN integer; opt_takeoff_speed,opt_landing_speed : IN integer; transponder,trans,destination,dest : IN integer; opt_lati_p,max_lati_p,max_long_p,opt_long_p : IN integer; long_pp,lati_pp,temp,travel : IN integer; increase_engine_speed,decrease_engine_speed: OUT std_logic; takeoff ,landing,climbup ,descent : OUT std_logic; takedivr,takedivl,Ac : OUT std_logic); END COMPONENT; ------------INPUTS-------------SIGNAL opt_temp , engine_speed : integer; SIGNAL max_engine_speed,opt_long_p_takeoff : integer; SIGNAL opt_lati_p_takeoff , opt_long_p_landing : integer; SIGNAL opt_lati_p_landing, opt_takeoff_speed : integer; SIGNAL opt_landing_speed, transponder : integer; SIGNAL trans : integer ; SIGNAL destination : integer ; SIGNAL dest : integer ; SIGNAL opt_lati_p : integer;

38
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

SIGNAL max_lati_p : integer; SIGNAL max_long_p : integer; SIGNAL opt_long_p : integer; SIGNAL long_pp : integer; SIGNAL lati_pp : integer; SIGNAL temp : integer; SIGNAL travel : integer; --------OUTPUTS-------SIGNAL increase_engine_speed : std_logic; SIGNAL decrease_engine_speed : std_logic; SIGNAL takeoff : std_logic; SIGNAL landing : std_logic; SIGNAL climbup : std_logic; SIGNAL descent : std_logic; SIGNAL takedivr : std_logic; SIGNAL takedivl : std_logic; SIGNAL Ac : std_logic; BEGIN ---------- Instantiate the Unit Under Test (UUT) uut: autopilot PORT MAP(opt_temp => opt_temp,engine_speed => engine_speed, max_engine_speed => max_engine_speed, opt_long_p_takeoff => opt_long_p_takeoff, opt_lati_p_takeoff => opt_lati_p_takeoff, opt_long_p_landing => opt_long_p_landing, opt_lati_p_landing => opt_lati_p_landing, opt_takeoff_speed => opt_takeoff_speed, opt_landing_speed => opt_landing_speed, transponder => transponder,trans => trans, destination => destination, dest => dest, opt_lati_p => opt_lati_p,max_lati_p => max_lati_p, max_long_p => max_long_p, opt_long_p => opt_long_p, long_pp => long_pp, lati_pp => lati_pp, temp => temp, travel => travel, increase_engine_speed => increase_engine_speed, decrease_engine_speed => decrease_engine_speed, takeoff => takeoff, landing => landing, climbup => climbup, descent => descent,takedivr => takedivr, takedivl => takedivl,Ac => Ac); tb : PROCESS BEGIN wait for 100 ns; 39
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

temp<=79; engine_speed<=420; long_pp<=12; lati_pp<=22; transponder<=0; destination<=0; wait; ------ will wait forever END PROCESS; END;

40
ECE DEPARTMENT, NNRG

DESIGN AND SIMULATION OF AUTOMATIC FLIGHT CONTROL SYSTEM WITH SAFETY FEATURES FOR SMALL AIRCRAFT

BIBLIOGRAPHY References:
1. A Helprick. Principles of Avionics. 2. H M Soekkha. Aviation Safety-Integrated Safety Systems Design and Air Transport Safety. 3. J Powell. Aircraft Radio Systems. Pitman Publishers. 4. www.dgca.nic.in. Requirements. 5. Micro Controllers and Its Applications, Douglas. V.Hal. Circulars on Safety in Aviation. Civil Airworthiness

FUTURE SCOPE
Governmental: Heavy investment in technology (Europe Technological Frameworks) actions to stop global warming and lower emissions (Noticeably in Europe) Market: Traditional airlines intended to expand business and there were new

entrants to explore new markets and were keen on competing with the existing ones Technological: more efficient jet and prop engines; fuel cell; carbon nano tubes; all composite aircraft; alternative fuels; morphing wings;

41
ECE DEPARTMENT, NNRG

Você também pode gostar