Você está na página 1de 12

Objetivo:

Realizar una programacin para implementar la secuencia de movimientos de un motor a pasos,la programacin se realizara por medio una seal de reloj mediante un lenguaje de descripcin de hardware en un dispositivo lgico programable.

Hiptesis:
Se realizara un circuito el cual se implementara un circuito programable para efectuar una serie de movimientos de un motor a pasos de 3 bobinas, impulsado por un pulso de reloj.

Marco Terico:
Motores paso a paso
Los motores paso a paso son ideales para la construccin de mecanismos en donde se requieren movimientos muy precisos. La caracterstica principal de estos motores es el hecho de poder moverlos un paso a la vez por cada pulso que se le aplique. Este paso puede variar desde 90 hasta pequeos movimientos de tan solo 1.8, es decir, que se necesitarn 4 pasos en el primer caso (90) y 200 para el segundo caso (1.8), para completar un giro completo de 360. Estos motores poseen la habilidad de poder quedar enclavados en una posicin o bien totalmente libres. Si una o ms de sus bobinas est energizada, el motor estar enclavado en la posicin correspondiente y por el contrario quedar completamente libre si no circula corriente por ninguna de sus bobinas. En este captulo trataremos solamente los motores P-P del tipo de imn permanente, ya que estos son los ms usados en robtica. Principio de funcionamiento Bsicamente estos motores estn constituidos normalmente por un rotor como en la figura 1, sobre el que van aplicados distintos imanes permanentes y por un cierto nmero de bobinas excitadoras bobinadas en su estator (ver figura2).

Figura 1. Imagen de rotor.

Figura 2. Imagen de extractor de 4 bobinas. Las bobinas son parte del estator y el rotor es un imn permanente. Toda la conmutacin (o excitacin de las bobinas) deber ser externamente manejada por un controlador. Existen dos tipos de motores paso a paso de imn permanente como se representan en la figura 3 y 4:

Figura 3. Imagen de motor bipolar.

Figura 4. Imagen de motor unipolar.

Motor Bipolar: Estos tiene generalmente cuatro cables de salida (ver figura 3). Necesitan ciertos trucos para ser controlados, debido a que requieren del cambio de direccin del flujo de corriente a travs de las bobinas en la secuencia apropiada para realizar un movimiento. En la figura 5 podemos apreciar un ejemplo de control de estos motores mediante el uso de un puente en H (H-Bridge). Como se aprecia, ser necesario un H-Bridge por cada bobina del motor, es decir que para controlar un motor Paso a Paso de 4 cables (dos bobinas), necesitaremos usar dos H-Bridges iguales al de la figura 5.

Figura 5. Imagen de controlador de motor. Unipolar: Estos motores suelen tener 6 o 5 cables de salida, dependiendo de su conexionado interno (ver figura 4). Este tipo se caracteriza por ser ms simple de controlar. En la figura 6 podemos apreciar un ejemplo de conexionado para controlar un motor paso a paso unipolar mediante el uso de un ULN2803, el cual es una array de 8 transistores tipo Darlington capaces de manejar cargas de hasta 500mA. Las entradas de activacin (activa A, B, C y D) pueden ser directamente activadas por un microcontrolador.

Figura 6. Diagrama de circuito integrador uln2803 conectado a un motor. Como comentario final, cabe destacar que debido a que los motores paso a paso son dispositivos mecnicos y como tal deben vencer ciertas inercias, el tiempo de duracin y la frecuencia de los pulsos aplicados es un punto muy importante a tener en cuenta. En tal sentido el motor debe alcanzar el paso antes que la prxima secuencia de pulsos comience. Si la frecuencia de pulsos es muy elevada, el motor puede reaccionar en alguna de las siguientes formas: Puede que no realice ningn movimiento en absoluto. Puede comenzar a vibrar pero sin llegar a girar. Puede girar errticamente. O puede llegar a girar en sentido opuesto.

Para obtener un arranque suave y preciso, es recomendable comenzar con una frecuencia de pulso baja y gradualmente ir aumentndola hasta la velocidad deseada sin superar la mxima tolerada. El giro en reversa debera tambin ser realizado previamente bajando la velocidad de giro y luego cambiar el sentido de rotacin. Cuando se trabaja con motores P-P usados o bien nuevos, pero de los cuales no tenemos hojas de datos. Es posible averiguar la distribucin de los cables a los bobinados y el cable comn en un motor de paso unipolar de 5 o 6 cables siguiendo las instrucciones que se detallan en la figura 7:

Figura 7. Imagen de motores de 5 y 6 cables de salida. Aislando el cable(s) comn que va a la fuente de alimentacin: Como se aprecia en las figuras anteriores, en el caso de motores con 6 cables, estos poseen dos cables comunes, pero generalmente poseen el mismo color, por lo que lo mejor es unirlos antes de comenzar las pruebas. Usando un tester para chequear la resistencia entre pares de cables, el cable comn ser el nico que tenga la mitad del valor de la resistencia entre ella y el resto de los cables. Esto es debido a que el cable comn tiene una bobina entre ella y cualquier otro cable, mientras que cada uno de los otros cables tiene dos bobinas entre ellos. De ah la mitad de la resistencia medida en el cable comn. Identificando los cables de las bobinas (A, B, C y D): aplicar un voltaje al cable comn (generalmente 12 volts, pero puede ser ms o menos) y manteniendo uno de los otros cables a masa (GND) mientras vamos poniendo a masa cada uno de los dems cables de forma alternada y observando los resultados. Identificando los cables en Motores P-P Bipolares: Para el caso de motores paso a paso bipolares (generalmente de 4 cables de salida), la identificacin es ms sencilla. Simplemente tomando un tester en modo ohmetro (para medir resistencias), podemos hallar los pares de cables que corresponden a cada bobina, debido a que entre ellos deber haber continuidad (en realidad una resistencia muy baja). Luego solo deberemos averiguar la polaridad de la misma, la cual se obtiene fcilmente probando. Es decir, si conectado de una manera no funciona, simplemente damos vuelta los cables de una de las bobinas y entonces ya debera funcionar correctamente. Si el sentido de giro es inverso a lo esperado, simplemente se deben invertir las conexiones de ambas bobinas y el H-Bridge. Para recordar Un motor de paso con 5 cables es casi seguro de 4 fases y unipolar. Un motor de paso con 6 cables tambin puede ser de 4 fases y unipolar, pero con 2 cables comunes para alimentacin, pueden ser del mismo color. Un motor de pasos con solo 4 cables es comnmente bipolar.

Desarrollo Experimental:
Materiales:
Un eliminador de bateras con la siguientes caractersticas: Voltaje de entrada 110-220 V de corriente alterna Frecuencia 50-60 Hz Intensidad de corriente mayor de 500 mA Un motor paso a paso de 12v 1 push buttons Circuito integrado GAL16V8

1 Circuito integrado SN7414 1 Circuito integrado ULN2803 1 Capacitor electroltico de 220 f 1 Potencimetro de 1 K
1 diodos emisores de luz

Procedimiento:
Se realizo un circuito se una serie de movimientos para un motor, para manejar el motor de pasos, se usa una interface que consta de un sistema secuencial y un driver, o manejador de potencia en la salida, que tenga la capacidad de conducir la corriente necesaria en las bobinas del motor de pasos. A continuacin se describir el proceso de montaje y realizacin del circuito. Las seales que recibe esta interface son: Clk: es una seal activada por un flanco positivo (transicin positiva), que le indica a lainterfaz que rote al motor un slo paso, esta entrada debe estar al menos activa por 20 microsegundos. Disee un secuenciador bidireccional para un motor de quince pasos usando el modelo de la mquina de Moore. La secuencia de funcionamiento para la rotacin se presenta en la siguiente tabla 1: Paso 1 2 3 4 5 6 7 8 A 1 0 0 1 0 0 0 1 B 0 1 0 0 1 0 1 0 C 0 0 1 0 0 1 0 0 Estado E0 E1 E2 E3 E4 E5 E6 E7

9 10 11 12 13 14 15 16

0 0 1 0 0 0 1 1

1 0 0 1 0 1 0 0

0 1 0 0 1 0 0 0

E8 E9 E10 E11 E12 E13 E14 E0

Tabla 1. Funcionamiento de secuencia. La cantidad de Flip Flops depende del nmero de estados utilizados en el diagrama de transicin, como lo indica la siguiente tabla 2: Estados 2 3o4 5a8 9 a 16 17 a 32 33 a 64 65 a 128 129 a 256 257 a 512 Cantidad de Flip Flops 1 2 3 4 5 6 7 8 9

Tabla 2. Cantidad de flip flops dependiendo de estados. La asignacin de valores a los estados puede ser al azar y corresponde a las combinaciones posibles que generan las salidas Q de los Flip Flops. En el diagrama de bloques (figura 8) se tienen como entradas el boton A, adems de la seal de sincrona Clk, como salidas Combinacionales se requieren cada uno de los impulsos y como salidas secuenciales o registradas cuatro Flip Flops llamados Q3, Q2, Q1 y Q0 que sus combinaciones representaran a cada uno de los cuatro posibles estados.

Figura 9. Diagrama de bloques.

Despus de obtener las entradas y salidas, los flip flops y los estados se procede a realizar la programacin en ABEL-HDL. El archivo en formato ABEL-HDL de este sistema secuencial se presenta a continuacin:

MODULE practmotor "secuenciador" c,x=.c.,.x.; "entradas Clk,UD pin 1,2; "salidas combinacionales A,B,C pin 19,18,17 istype 'com'; "salidas registradas Q3,Q2,Q1,Q0 pin 15,14,13,12 istype 'reg'; "sincronizacion de los FF,s a un mismo pulso de reloj DECLARATIONS sreg=[Q3,Q2,Q1,Q0]; equations sreg.clk=Clk; "ASIGNACION DE VALORES A LOS ESTADOS DECLARATIONS E0=[0,0,0,0]; E1=[0,0,0,1]; E2=[0,0,1,0]; E3=[0,0,1,1]; E4=[0,1,0,0]; E5=[0,1,0,1]; E6=[0,1,1,0]; E7=[0,1,1,1]; E8=[1,0,0,0]; E9=[1,0,0,1]; E10=[1,0,1,0]; E11=[1,0,1,1]; E12=[1,1,0,0]; E13=[1,1,0,1]; E14=[1,1,1,0]; E15=[1,1,1,1];

state_diagram sreg; state E0: A=1;B=0;C=0; IF UD then E1 else E0 state E1: A=0;B=1;C=0; IF UD then E2 else E1 state E2: A=0;B=0;C=1; IF UD then E3 else E2 state E3: A=1;B=0;C=0; IF UD then E4 else E3 state E4: A=0;B=1;C=0; IF UD then E5 else E4 state E5: A=0;B=0;C=1; IF UD then E6 else E5 state E6: A=0;B=1;C=0; IF UD then E7 else E6 state E7: A=1;B=0;C=0; IF UD then E8 else E7 state E8: A=0;B=1;C=0; IF UD then E9 else E8 state E9: A=0;B=0;C=1; IF UD then E10 else E9 state E10: A=1;B=0;C=0; IF UD then E11 else E10 state E11: A=0;B=1;C=0; IF UD then E12 else E11 state E12: A=0;B=0;C=1; IF UD then E13 else E12 state E13: A=0;B=1;C=0; IF UD then E14 else E13 state E14: A=1;B=0;C=0; IF UD then E15 else E14 state E15: A=1;B=0;C=0; IF UD then E0 else E15

"simulacion test_vectors ([Clk,UD,Q3,Q2,Q1,Q0]>[A,B,C,Q3,Q2,Q1,Q0]) [c,1,0,0,0,0]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,1,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; [c,0,x,x,x,x]->[x,x,x,x,x,x,x]; END

Archivo Reporte Este archivo se genera como resultado de la compilacin.

Chip Diagram:

Ahora pasaremos a implementar nuestro circuito sobre la tabla de conexiones en el cual tambin construiremos un generador de pulsos utilizando un circuito integrado SN7414, podemos ver el diagrama esquemtico del generador en la figura 10, la salida de la terminal 2 del SN7414 fue conectado a la terminal 1 del GAL16V8.

Figura 10. Generador de pulsos.

Para las salidas del circuito integrado GAL se realizo una conexin de alambres hacia el circuito integrador ULN2803 de la siguiente manera: La terminal 19 del Gal hacia la terminal 1 del ULN2803 La terminal 18 hacia la terminal 2 del ULN2803 La terminal 17 hacia la terminal 3 del ULN2803

Despus de la conexiones descritas y realizar la conexin de VCD y GND del circuito programable Gal, se conecta el motor hacia las terminales 18, 17 y 16 del circuito integrador dependiendo del embobinado del motor, de referencia que la bobina que se considero como A va conectada en la terminal 18, quedando de la siguiente forma (figura 11).

Figura 11. Circuito ensamblado en tabla de conexiones.

Discusin de resultados:

Para este circuito los resultados se representado por medio de tres salidas las cuales funcionan de la siguiente forma: Se contaron un pulso de reloj en la terminal uno del PLD representada como Clk, el cual su salida es representada por una secuencia combinacional con pulsos de 5v hacia un driver de arreglo de transistores que eleva el voltaje a 12v para que el motor funcione.

A continuacin se representara la tabla 3 de estados.


Estado Estados presentes prximos U/D=1 U/D=0 E0 E1 E0 E1 E2 E1 E2 E3 E2 E3 E4 E3 E4 E5 E4 E5 E6 E5 E6 E7 E6 E7 E8 E7 E8 E9 E8 E9 E10 E9 E10 E11 E10 E11 E12 E11 E12 E13 E12 E13 E14 E13 E14 E0 E14 Salidas A 1 0 0 1 0 0 0 1 0 0 1 0 0 0 1 B 0 1 0 0 1 0 1 0 1 0 0 1 0 1 0 C 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0

Tabla 3. Tabla de estados

Resultados generales
Fotografa del circuito concluido: circuito de diseo de secuencia para un motor a pasos utilizando circuitos integradores, representado en la figura 12.

Figura 12. Resultados finales de conexin del circuito

Conclusiones:
Se demostr la hiptesis obtenida y se aprendi el funcionamiento de las etapas de potencia y los motores a pasos, asi como aprender a programar PLD teniendo como entrada un pulso de reloj, aprender a identificar los embobinados de un motor y la conexin de esta.

Bibliografa:
Fundamentos de diseo digital, Csar A. Leal Chapa, FIME, UANL. Sistemas digitales, Ronald J. Tocci 8va edicin M.C. Juan ngel Garza Garza http://jagarza.fime.uanl.mx

Você também pode gostar