Você está na página 1de 127

ii Embedded Processing Selection Guide

 Table of Contents
Introduction to TI Embedded Processing ARM® References
Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1
Introduction to TI ARM®-Based Embedded Processors . . . . . . . . . . . . . . . . . . . . . . . . . .2
ARM + DSP:
Processor Find and Fit Guide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3 TMS320DM6467 Digital Media Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26
TMS320DM6446 Digital Media Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28
TMS320C5000™ DSP Platform TMS320DM6443 Digital Media Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28
TMS320C55x™ DSP Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5 TMS320DM6441 Digital Media Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28
TMS320C54x™ DSP Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7 OMAP3530 Applications Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .39
Power Management Products for the C5000™ DSP Platform . . . . . . . . . . . . . . . . . . .10 OMAP3525 Applications Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .39
TMS320C6000™ DSP Platform
ARM Only:
TMS320C64x™ DSP Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11
TMS320C645x DSP Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14 TMS320DM365 Digital Media Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .33
TMS320C647x DSP Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16 TMS320DM357 Digital Media Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .33
TMS320C67x™ DSP Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18 TMS320DM355 Digital Media Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .33
Power Management Products for the C6000™ DSP Platform . . . . . . . . . . . . . . . . . . .23 TMS320DM335 Digital Media Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .33
OMAP3515 Applications Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .39
Digital Media Processors with DaVinci™ Technology OMAP3503 Applications Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .39
Digital Media Processors with DaVinci Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . .24
TMS320DM646x Digital Media Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26 ARM + MCU:
TMS320DM644x Digital Media Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28 Stellaris 32-Bit ARM® Cortex™-M3 MCUs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .99
TMS320DM643x Digital Media Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .29
TMS320DM64x™ Digital Media Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31
TMS320DM3x Digital Media Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .33
Compatible Analog Products for DaVinci-Based Digital Video Applications . . . . . . . . . .37
TI Worldwide Technical Support
OMAP™ Applications Processors Internet
OMAP35x Platform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .39
TI Semiconductor Product Information Center Home Page
OMAP-L1x Platform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .42
support.ti.com
Power Management Products for OMAP35x and OMAP-L1x Applications Processors . . .45
Software and Development Tools TI Semiconductor KnowledgeBase Home Page
eXpressDSP™ Software and Development Tools . . . . . . . . . . . . . . . . . . . . . . . . . . . . .46 support.ti.com/sc/knowledgebase
Code Composer Studio™ IDE and TI Developer Network Development Tools . . . . . . . .47
JTAG Debug and eXpressDSP Data Visualization . . . . . . . . . . . . . . . . . . . . . . . . . . . . .49 Product Information Centers
Operating Systems Solutions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .50 Americas Phone +1(972) 644-5580
Algorithm Standards and Frameworks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .52 Brazil Phone 0800-891-2616
Digital Media Software Portfolio . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .53
Getting Started . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .55 Mexico Phone 0800-670-7544
DaVinci Development Tools and Software . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .56
Fax +1(972) 927-6377
OMAP Development Tools and Software . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .57
Internet/Email support.ti.com/sc/pic/americas.htm
TI DSP Developer Network . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .58
Embedded Processing Development Tools Feature Matrix . . . . . . . . . . . . . . . . . . . . . .59 Europe, Middle East, and Africa
Embedded Processing Support Resources Phone
Embedded Processing Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .60 European Free Call 00800-ASK-TEXAS
Training Resources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .62 (00800 275 83927)
TI Embedded Processor Device Nomenclature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .64 International +49 (0) 8161 80 2121
Complementary Products for the TMS320™ DSP Family Russian Support +7 (4) 95 98 10 701
Linear and Logic Products . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .65
Data Converters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .69 Note: The European Free Call (Toll Free) number is not active in all countries. If you have tech-
Audio Converters and Controllers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .72 nical difficulty calling the free call number, please use the international number above.
Interface Products . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .75
Fax +(49) (0) 8161 80 2045
TMS320C2000™ Microcontrollers for Real-Time Control Internet support.ti.com/sc/pic/euro.htm
TMS320C2000 Microcontrollers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .79
TMS320C2000 New Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .81 Japan
TMS320C2000 New Technology Tools . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .83 Fax
Power Management Products for the C2000™ Microcontroller Platform . . . . . . . . . . .85 International +81-3-3344-5317 Domestic 0120-81-0036
Internet/Email
MSP430 Ultra-Low Power Microcontrollers
International support.ti.com/sc/pic/japan.htm
MSP430 Microcontrollers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .86 Domestic www.tij.co.jp/pic
Flash-Based F2xx MCU Family . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .88
Flash/ROM-Based x1xx MCU Family . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .90 Asia
Flash/ROM-Based x4xx MCU Family . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .91 Phone
Flash-Based F5xx MCU Family . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .92 International +91-80-41381665
Complementary Analog Products for the MSP430 Family . . . . . . . . . . . . . . . . . . . . . . .95 Domestic Toll-Free Number Toll-Free Number
Stellaris® Family of Microcontrollers Australia 1-800-999-084 Malaysia 1-800-80-3973
China 800-820-8682 New Zealand 0800-446-934
Stellaris 32-Bit ARM® Cortex™-M3 MCUs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .99
Hong Kong 800-96-5941 Philippines 1-800-765-7404
System Solutions India 1-800-425-7888 Singapore 800-886-1028
Audio . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .101 Indonesia 001-803-8861-1006 Taiwan 0800-006800
HiRel DSPs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .103 Korea 080-551-2804 Thailand 001-800-886-0010
Industrial . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .104 Fax +886-2-2378-6808 Email tiasia@ti.com
Medical . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .110 Internet support.ti.com/sc/pic/asia.htm ti-china@ti.com
Medical Imaging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .111
Portable Medical . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .112 C093008
Smart Metering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .113
© 2009 Texas Instruments Incorporated
Telecom . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .114
Video and Imaging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .115 Printed in U.S.A. by Southwest Precision Printers, Houston, TX

Texas Instruments 2Q 2009 Embedded Processing Guide


Introduction to TI Embedded Processing 1


Overview
Embedded Processing Overview
Texas Instruments has the broadest portfolio of scaleable DSP, MCU, differentiated ARM and complementary analog products offering
complete system solutions for electronics manufacturers. This portfolio offers the full range of power/performance requirements ensuring
the right combination of attributes serving the smallest portable devices to the largest multi-channel systems and everything in between.
In addition, TI offers design resources including extensive software (including open source), tools, technical training, in-person and online
tech support and engineer-to-engineer forums at e2e.ti.com. Visit www.ti.com for technical literature, systems block diagrams and more.

DaVinci™ Digital Media Processors: telecommunication, video, imaging, infra- TI provides the ultimate solution for battery-
Optimized for Digital Video structure, test and equipment, military and powered measurement applications. Using
DaVinci technology consists of scalable, industrial applications. The platform leadership in both mixed-signal and digital
programmable signal processing system includes the C64x™ high-performance technologies, TI has created the MSP430,
on chips (SoCs), accelerators and periph- DSPs, C67x™ floating-point DSPs and enabling system designers to simultane-
erals, optimized to match the price, per- the C674x fixed-/floating-point DSPs. ously interface to analog signals, sensors
formance and feature requirements for a Power-Efficient Performance: and digital components while maintaining
broad spectrum of video end equipments. TMS320C5000™ DSP Platform unmatched low power.
OMAP™ Applications Processors: The C5000™ DSP platform offers the Stellaris® 32-Bit ARM®
Best General-Purpose, Multimedia industry’s lowest standby power and Cortex™-M3 MCUs
and Graphics advanced automatic power management Stellaris 32-bit MCUs combine advanced
TI’s OMAP platform delivers high-perform- for portable products like digital music connectivity options with the general-
ance applications processors and a robust players, VoIP, hands-free accessories, GPS purpose processing performance of the
support network allowing for rapid devel- receivers and portable medical equipment. industry-standard ARM Cortex-M3 core for
opment of multimedia-enhanced devices to TMS320C2000™ 32-Bit Micro- cost-conscious applications.
general-purpose computer applications controller for Real-Time Control Software and Development Tools
that require Linux OS or Windows® CE OS. The C2000™ Microcontroller family com- TMS320™ DSPs are supported by
OMAP35x devices target portable naviga- bines advanced control peripherals with the eXpressDSP™ Software and Development
tion devices and media players, Internet processing power of a 32-bit core. From Tools including Code Composer Studio™
appliances and personal medical equip- the low-cost, high-integration Piccolo™ to IDE, DSP/BIOS™ kernel, TMS320 DSP
ment. OMAP-L1x devices include ARM9 the powerful Delfino™ floating-point MCU, Algorithm Standard and numerous
and ARM9 + DSP architectures and offer a C2000 MCUs offer a broad range of options, reusable, modular software from the
variety of peripherals for networking, target- and are ideal for embedded industrial control largest Developer Network in the industry.
ing consumer and industrial applications. applications such as digital motor control, Complementary Analog Products
Highest Performance: digital power supplies, intelligent sensors. TI offers a range of complementary data
TMS320C6000™ DSP Platform MSP430 Ultra-Low Power converter, power management, amplifiers,
The C6000™ DSP platform offers the Microcontroller Platform interface and logic products to complete
industry’s highest-performance single-core The MSP430 family of ultra-low power your design.
and multi-core DSPs ideal for networking, 16-bit RISC mixed-signal processors from

Applications Matrix Guideline


OMAP C6000 C5000 Stellaris 32-Bit
Digital Media Applications Digital Signal Digital Signal C2000 MSP430 ARM Cortex-M3
Processors Processors Processors Processors Microcontrollers Microcontrollers MCUs
Audio
Automotive
Communications
Industrial
Medical
Security
Video
Wireless
Performance, Open architecture
Complete tailored Low power and High Power-efficient integration for software, rich
Key Feature Ultra-low power
video solution high performance performance performance greener industrial communications
applications options

Texas Instruments 2Q 2009 Embedded Processing Guide


2 Introduction to TI Embedded Processing
 Introduction to TI ARM®-Based Embedded Processors
ARM®-Based TI Offerings Overview
TI offers a broad range of ARM-based products that address a wide variety of applications while delivering optimum performance, power
consumption and system cost. These ARM-based products span a variety of TI’s product lines. See the chart below for how these
products map to the ARM offerings as well as the recommended migration path.

User Interface
Target Applications for TI’s
ARM-Based Devices

Computing Capability
ARM9 DM3x ARM®
ARM processors cover a wide range of DM644x Cortex™-A8/9
32-bit MPU DM646x OMAP35x
performance and features enabling Up o 500 MHz 32-bit MPU

O/S Complexity
OMAP-L1x
Up to 1 GHz
system designers to create solutions

Capability
that meet their precise requirements.
Target applications include:

Connectivity Complexity
• Data processing

Control Capability
ARM
• POS Cortex™-M3
Stellaris®
• Handheld computing 32-bit MCU LM3Sxx
Up to 100 MHz
• Wired communications
• Networking
• Broadcast equipment ARM to TI device capability overlay
• Wireless communications TI’s ARM-Based Devices
• Handsets
TI Processor CPU MHz Operating System Key Peripherals
• LAN/WAN routers
OMAP3503 ARM Cortex™-A8 600 Linux, Windows CE, MMC/SD, McBSP, UART, USB 2.2 HS 3-Port,
• Consumer electronics Symbian, Palm USB 2.0 HS OTG
• Portable A/V players OMAP3515 ARM Cortex-A8 600 Linux, Windows CE, MMC/SD, McBSP, UART, USB 2.2 HS 3-Port,
• Digital set-top Symbian, Palm USB 2.0 HS OTG
• Digital cameras OMAP3525 ARM Cortex-A8 + 600 Linux, Windows CE, MMC/SD, McBSP, UART, USB 2.2 HS 3-Port,
• Network appliances C64x™ DSP Symbian, Palm USB 2.0 HS OTG
• HVAC OMAP3530 ARM Cortex-A8 + 600 Linux, Windows CE, MMC/SD, McBSP, UART, USB 2.2 HS 3-Port,
C64x DSP Symbian, Palm USB 2.0 HS OTG
• Gaming equipment
OMAP-L137 ARM926 + C674x DSP 300/300 Linux, Windows CE, MMC/SD, SDRAM/NAND, EMAC, UART,
• Automotive
VxWorks USB 2.0 HS OTG, USB 1.1
• Infotainment OMAP-L138 ARM926 + C674x DSP 300/300 Linux, Windows CE, mDDR/DDR2, SDRAM/NAND, SATA, uPP,
• Safety and control VxWorks EMAC, USB 2.0 HS OTG, USB 1.1
• Body electronics TMS320DM355 ARM926 135, 216, 270 Linux mDDR/DDR2, USB 2.0 H/OTG
• Industrial TMS320DM335 ARM926 135, 216 Linux mDDR/DDR2, USB 2.0 H/OTG
• Medical TMS320DM357 ARM926 270 Linux EMAC, DDR2, JTAG, USB 2.0 OTG
• Automation and drives TMS320DM365 ARM926 216, 270, 300 Linux EMAC, mDDR/DDR2, HPI, voice codec,
• Metering USB 2.0 H/OTG
TMS320DM6467 ARM926 + 594, 729/ Linux, Windows CE EMAC, DDR2, USB 2.0, HPI, PCI, ATA
• Power supplies C64x+™ DSP 297, 365
• Remote monitoring TMS320DM6446 ARM926 + C64x+ DSP 300/600 Linux, Windows CE EMAC, DDR2, USB 2.0, HPI, ATA,
• Building controls Flash card I/F
• Factory automation TMS320DM6443 ARM926 + C64x+ DSP 300/600 Linux, Windows CE EMAC, DDR2, USB 2.0, HPI, ATA,
• Test and measurement equipment Flash card I/F
TMS320DM6441 ARM926 + C64x+ DSP 256/512 Linux, Windows CE EMAC, DDR2, USB2.0, HPI, ATA,
Flash card I/F
Stellaris® LM3S: ARM Cortex-M3 20–50 Schedulers and General purpose
1/3/6/800, 1000 various RTOS
Stellaris LM3S: ARM Cortex-M3 50–100 Schedulers and ENET 1588 MAC+PHY
6/8/9000 various RTOS
Stellaris LM3S: ARM Cortex-M3 50–100 Schedulers and USB OTG/H/D
3/5/9000 various RTOS
Stellaris LM3S: ARM Cortex-M3 50–100 Schedulers and CAN
2/5/8/9000 various RTOS

Texas Instruments 2Q 2009 Embedded Processing Guide


Introduction to TI Embedded Processing 3


Processor Find and Fit Guide
Step 2: Finding the Right TI Processor for Your Application.
Step 1: Do you Need a
Seven simple but important questions with “checkbox” answer simplicity that will help
Processor for Your
identify the most appropriate TI processor family or product to meet your requirements.
Application?
Count up Yes answers and see the 1. What is the primary function of your product using this processor?
score at the bottom for whether a  a. Control  b. Sensing and measurement
processor is right for your application.  c. Audio  d. General processing
1. Does your applciation need to run  e. Video/image processing  f. Communications processing
algorithms to interpret, filter, and/or  g. 3-D graphics  h. Real-time signal processing
adjust data of real-world signals? 2. What is your ranked priority order of your first, second and third most impor-
 Yes  No tant processor requirement to address? (Write 1, 2 or 3 in three boxes)
2. Does your application need to make  a. Lowest processor cost  b. Fast time to market
multiple control decisions based on  c. Performance  d. Software reuse
feedback from sensors?  e. Low power dissipation  f. Run Linux or Windows OS
 Yes  No  g. Low system BOM cost
3. Does your application need to play
3. What processor types have you used prior to or are you considering to use for
or record music and/or video in
this project?
multiple formats?
 a. General-purpose 32-bit  b. DSP 16 or 32 (ADI, C5000™, C6000™)
 Yes  No
 c. MCU 8/16 (e.g., MSP430, PIC)  d. Video processors (DM64x, IMX)
4. Does your application need to  e. MCU 16/32 (e.g., ARM7, C2000™)  f. FPGA soft cores
process any networking, wireless or  g. Floating point
WiMAX communications protocols?
 Yes  No 4. What classification would most closely fit your processor application?
 a. Battery powered  b. Plug-in (line) powered
5. Does your application have a GUI
 c. Infrastructure or backbone  d. Stand alone
(Graphical User Interface)?
 e. Gateway or in between  f. Other
 Yes  No
6. Does your application need to 5. What example I/O would be important for your processor to support?
access the Internet?  a. Audio input/output  b. Control I/O and PWMs
 Yes  No  c. Video input/output  d. Ethernet
7. Does your application need to run  e. USB  f. SATA or SDIO
Linux, Windows® CE, other operating  g. PCI, PCI Express®, sRIO  h. Wi-Fi or WiMAX
system or real-time kernel?  i. On-chip ADC or analog I/O  j. RF (e.g., ZigBee®)
 Yes  No 6. In what language/level do you expect/desire to do most of your
Simple Scoring: programming?
 0 Yes = You most likely do not  a. C or C++  b. Graphical programming (e.g., Simulink™)
need a processor  c. Assembly  d. Not me – Will buy software
 1 or 2 Yes = You may need a  e. Java or open source  f. Other
processor 7. What price range do you expect to pay for your processor?
 3 or 4 Yes = You most likely need a  a. Up to U.S. $2  b. U.S. $2 to $5
processor  c. > U.S. $5 to $10  d. > U.S. $10 to $25
 5+ Yes = You definitely need a  e. > U.S. $25 to $50  f. > U.S. $50
processor Continued on the following page.

Want to find the right processor even faster?


MCU Check out the new interactive selection tools DSP
Selection online with all the features and functions that Selection
Tool Tool
Select ✓
you need. Select ✓

www.ti.com/mcutool www.ti.com/dsptool

Texas Instruments 2Q 2009 Embedded Processing Guide


4 Introduction to TI Embedded Processing
 Processor Find and Fit Guide
Step 3: Processor Platform Fit: The More Blue = Better Fit
MSP430 TMS320C2000™ Stellaris 32-Bit ARM® TMS320C5000™ TMS320C6000™ OMAP™ Applications TMS320DM64x, DM3x
MCU MCU Cortex™-M3 MCU DSP DSP Processor Digital Media Processor
1. Primary function?
a. Control
b. Sensing/Measurement
c. Audio
d. General processing
e. Video/Image processing
f. Communications processing
g. 3-D graphics
h. Real-time signal processing
2. Priority requirement?
a. Lowest processor cost
b. Fast time to market
c. Performance
d. Software reuse
e. Low power dissipation
f. Run Linux or Windows
g. Low system BOM cost
3. Processor types?
a. General-purpose, 32-bit
b. DSP 16-/32-bit
c. MCU 8-/16-bit
d. Video processor
e. MCU 16-/32-bit
f. FPGA soft cores
g. Floating point
4. Application classification?
a. Battery powered
b. Plug-in (line) powered
c. Infrastructure of backbone
d. Stand alone
e. Gateway or in between
f. Other
5. What I/O is important?
a. Audio input/output
b. Control I/O and PWMs
c. Video input/output
d. Ethernet
e. USB
f. SATA or SDIO
g. PCI, PCI Express® or sRIO
h. Wi-Fi or WiMAX
i. On-chip ADC or analog I/O
j. RF (e.g., ZigBee®)
6. Programming language?
a. C or C++
b. Graphical programming
c. Assembly
d. Not me – Will buy software
e. Java or open source
f. Other
7. Price expectation?
a. Up to U.S. $2
b. U.S. $2 to $5
c. > U.S. $5 to $10
d. > U.S. $10 to $25
e. > U.S. $25 to $50
f. > U.S. $50

Texas Instruments 2Q 2009 Embedded Processing Guide


TMS320C5000™ DSP Platform 5


Silicon
TMS320C55x™ Power-Efficient DSP Generation, Fixed Point
Industry’s Best Combinition of Standby and Dynamic Power

Get samples, data sheets, tools and app reports at: www.ti.com/c5000

Specifications

Increasing Performance/Power Efficiency/System Density


Software Compatible
• TI has extended its popular C5000™
TMS320C5000 low-power platform to TMS320C5000 DSP Multicore
• Largest installed DSP Devices
provide a broader portfolio of the indus- customer base
try’s most power-efficient DSPs with • Broad product portfolio C55x™
• Power and cost efficient Devices
standby power as low as 0.12 mW and s
ion
performance up to 600 MIPs o lut
onS
• Extremely low power consumption and ati
ic dio
more power modes extend battery life un it , Ra
C55x
mm eK
Devices
• Higher integration with large on-chip leco Fre
s-
t Te and
memory and a variety of peripheral ien e ,H etric
s
Cl oi c
offerings on a smaller form factor , V a l , Biom
dio Med
ic C54x™, C55x
• Software compatible with all C5000 DSPs Au able tion Devices
Port munica
• Easy-to-use development tools and C5000 e s s o ri es, Com
/Acc
ny, VoIP
more value-added third-party solutions Devices Telepho C54x, C55x
speed time to market Embedded Signal Processing, Measurement Devices

Applications
Feature-rich portable voice/audio products, C5000™ DSP Platform Roadmap
hands-free car kit, noise cancellation The C5000 DSPs span the applications spectrum with core performance extended to 300 MHz.
headset, low-cost VoIP system and acces-
sories, DECT/USB phone, software-defined
radio, portable medical devices (ECG, digi- 6 6
2 4 16
tal stethoscope, pulse oximeter), fingerprint
MMC/SD

MMC/SD

3 Timers

USB 2.0
pattern recognition, metering, measure-

Slave
GPIO

GPIO

RTC
I2C
I2S

I2S

ment and embedded signal processing


applications in general
TMS320C5504 / C5505 DSPs –
Best-in-Class Standby and Dynamic Power 60
EMIF/ 4-Ch.
• As low as 18 mW active power NAND
4-Ch.
4-Ch.
C55x™ DMA
4-Ch.
DMA
• 10-mm × 10-mm small form factor DMA 2
INT
Peripheral Bus

DSP Core DMA


• Four pin-to-pin compatible devices with
incremental features and price FFT
• Richest feature sets as shown in the
block diagram Memory Memory
4 32-KHz DARAM
JTAG

SARAM 7
TMS320C5507 / C5509A DSPs – PLL ROM 64 KB
192/256
Optimized for Portable Media / Industrial 128 KB KB
Applications
• Dual MAC / 216–400 MIPs
ANA LDO
SAR ADC

• Integrated multi-channel ADC, USB 2.0


10-Bit
UART

GPIO

LCD
SPI
IS

I2S

full-speed and large on-chip RAM


2

• Very low standby power of 0.12 mW


TMS320C5501 / C5502 DSPs – 4 7
4 4 8 8
Price and Performance Leaders 13
• Up to 300-MHz clock rate 8
• Two/Three multi-channel buffered serial
ports (McBSPs),UART, I2C TMS320C5505 DSP Block Diagram
The C5505 DSP is one of the latest TI C5000 DSPs with the best-in-class combination of standby
TMS320C5510 DSP – Ideal for and active power and an integration level optimized for portable audio/voice, medical and many
Memory-Intensive Applications other applications.
• Ultra low power – 69 µA in deep-sleep
• Up to 320-KB on-chip memory

Texas Instruments 2Q 2009 Embedded Processing Guide


6 TMS320C5000™ DSP Platform
 Silicon
TMS320C55x™ DSP Generation
DAT/PRO
RAM ROM I-Cache EMIF DMA (ADDR) MMC/ Voltage (V) 1-KU
Part Number (Bytes) (Bytes) (Bytes) (Bits) (ch) (Words) USB2 ADC3 UART I2C RTC McBSP4 SD LCD Core I/O COM Timers5 MHz MIPS Packaging Price1
TMS320VC5501GZZ3006 32 K 32 K 16 32 6 8M – – Y Y – 2 – – 1.26 3.3 HPI8 37 300 600 201 BGA8 4.50
TMS320VC5501PGF3006 32 K 32 K 16 32 6 8M – – Y Y – 2 – – 1.26 3.3 HPI8 37 300 600 176 LQFP 4.50
TMS320VC5501ZZZ3006 32 K 32 K 16 32 6 8M – – Y Y – 2 – – 1.26 3.3 HPI8 37 300 600 201 BGA8 4.50
TMS320VC5502GZZ2006 64 K 32 K 6 8M – – Y Y – 3 – – 1.26 3.3 HPI16/8 37 200 400 201 BGA8 6.26
TMS320VC5502PGF2006 64 K 32 K 6 8M – – Y Y – 3 – – 1.26 3.3 HPI16/8 37 200 400 176 LQFP 6.26
TMS320VC5502ZZZ2006 64 K 32 K 16 32 6 8M – – Y Y – 3 – – 1.26 3.3 HPI16/8 37 200 400 201 BGA8 6.26
TMS320VC5502PGF3006 64 K 32 K 6 8M – – Y Y – 3 – – 1.26 3.3 HPI16/8 37 300 600 176 LQFP 8.08
TMS320VC5502GZZ3006 64 K 32 K 6 8M – – Y Y – 3 – – 1.26 3.3 HPI16/8 37 300 600 201 BGA8 8.08
TMS320VC5502ZZZ3006 64 K 32 K 16 32 6 8M – – Y Y – 3 – – 1.26 3.3 HPI16/8 37 300 600 201 BGA8 8.08
TMS320VC5503GHH6 64 K 64 K – 16 6 8M – – – Y Y 3 – – 1.2/1.35/ 2.7–3.6 HPI16 27 108/144/ 400 179 BGA 6.75
1.6 200 (max)
TMS320VC5503PGE6 64 K 64 K – 16 6 8M – – – Y Y 3 – – 1.2/1.35/ 2.7–3.6 HPI16 27 108/144/ 400 144 LQFP 6.75
1.6 200 (max)
TMS320VC5503ZHH6 64 K 64 K – 16 6 8M – – – Y Y 3 – – 1.2/1.35/ 2.7–3.6 HPI16 27 108/144/ 400 179 BGA8 6.75
1.6 200 (max)
TMS320VC5506GHH1086 128 K – – 16 6 8M Y1 – – Y Y 3 – – 1.2 2.7–3.6 – 27 108 216 179 BGA 6.50
TMS320VC5506GHHR1086 128 K – – 16 6 8M Y1 – – Y Y 3 – – 1.2 2.7–3.6 – 27 108 216 179 BGA 6.50
TMS320VC5506PGE1086 128 K – – 16 6 8M Y1 – – Y Y 3 – – 1.2 2.7–3.6 – 27 108 216 144 LQFP 6.50
TMS320VC5506ZHH1086 128 K – – 16 6 8M Y1 – – Y Y 3 – – 1.2 2.7–3.6 – 27 108 216 179 BGA8 6.50
TMS320VC5506ZHHR1086 128 K – – 16 6 8M Y1 – – Y Y 3 – – 1.2 2.7–3.6 – 27 108 216 179 BGA8 6.50
TMS320VC5507GHH6 128 K 64 K – 16 6 8M Y1 Y1 – Y Y 3 – – 1.2/1.35/ 2.7–3.6 HPI16 27 108/144/ 400 179 BGA 9.41
1.6 200 (max)
TMS320VC5507PGE6 128 K 64 K – 16 6 8M Y1 Y2 – Y Y 3 – – 1.2/1.35/ 2.7–3.6 HPI16 27 108/144/ 400 144 LQFP 9.41
1.6 200 (max)
TMS320VC5507ZHH6 128 K 64 K – 16 6 8M Y1 Y1 – Y Y 3 – – 1.2/1.35/ 2.7–3.6 HPI16 27 108/144/ 400 179 BGA8 9.41
1.6 200 (max)
TMS320VC5507ZHHR6 128 K 64 K – 16 6 8M Y1 Y1 – Y Y 3 – – 1.2/1.35/ 2.7–3.6 HPI16 27 108/144/ 400 179 BGA8 9.41
1.6 200 (max)
TMS320VC5509AGHH6 256 K 64 K – 16 6 8M Y1 Y1 – Y Y 3 2 – 1.2/1.35/ 2.7–3.6 HPI16 27 108/144/ 400 179 BGA 14.40
1.6 200 (max)
TMS320VC5509AGHHR6 256 K 64 K – 16 6 8M Y1 Y1 – Y Y 3 2 – 1.2/1.35/ 2.7–3.6 HPI16 27 108/144/ 400 179 BGA 14.83
1.6 200 (max)
TMS320VC5509APGE6 256 K 64 K – 16 6 8M Y1 Y2 – Y Y 3 2 – 1.2/1.35/ 2.7–3.6 HPI16 27 108/144/ 400 144 LQFP 14.40
1.6 200 (max)
TMS320VC5509AZHH6 256 K 64 K – 16 6 8M Y1 Y1 – Y Y 3 2 – 1.2/1.35/ 2.7–3.6 HPI16 27 108/144/ 400 179 BGA8 14.40
1.6 200 (max)
TMS320VC5509AZHHR6 256 K 64 K – 16 6 8M Y1 Y1 – Y Y 3 2 – 1.2/1.35/ 2.7–3.6 HPI16 27 108/144/ 400 179 BGA8 14.83
1.6 200 (max)
TMS320VC5510AGGW1 320 K 32 K 24 32 6 8M – – – – – 3 – – 1.6 3.3 HPI16 2 160, 200 320, 400 240 BGA8 14.40
TMS320VC5510AGGW2 320 K 32 K 24 32 6 8M – – – – – 3 – – 1.6 3.3 HPI16 2 160, 200 320, 400 240 BGA8 16.85
TMS320VC5510AGGWA1 320 K 32 K 24 32 6 8M – – – – – 3 – – 1.6 3.3 HPI16 2 160, 200 320, 400 240 BGA8 17.28
TMS320VC5510AGGWA2 320 K 32 K 24 32 6 8M – – – – – 3 – – 1.6 3.3 HPI16 2 160, 200 320, 400 240 BGA8 19.87
TMS320VC5510AZGW1 320 K 32 K 24 32 6 8M – – – – – 3 – – 1.6 3.3 HPI16 2 160, 200 320, 400 240 BGA8 14.40
TMS320VC5510AZGW2 320 K 32 K 24 32 6 8M – – – – – 3 – – 1.6 3.3 HPI16 2 160, 200 320, 400 240 BGA8 16.85
TMS320VC5510AZGWA1 320 K 32 K 24 32 6 8M – – – – – 3 – – 1.6 3.3 HPI16 2 160, 200 320, 400 240 BGA8 17.28
TMS320VC5510AZGWA2 320 K 32 K 24 32 6 8M – – – – – 3 – – 1.6 3.3 HPI16 2 160, 200 320, 400 240 BGA8 19.73
TMS320VC5510AGPHA2 320 K 32 K 24 32 6 8M – – – – – 3 – – 1.6 3.3 HPI16 2 160, 200 320, 400 240 BGA8 20.20
TMS320VC5504ZCH 256 K 128 K – 16 16 2M Y2 – 1 Y Y – 2 – 1.05/1.3 1.8/2.5/ – 27 60/100 120/200 196 BGA8 5.60
(Muxed 2.8/3.3
w/ I2S
& GPIO)
TMS320VC5505ZCH 320 K 128 K – 16 16 2M Y2 Y1 1 Y Y – 2 Muxed 1.05/1.3 1.8/2.5/ – 27 60/100 120/200 196 BGA8 6.75
(Muxed w/ I2S, 2.8/3.3
w/ I2S SPI,
& GPIO) UART
& GPIO
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain New devices are listed in red.
the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order..
2 1 = Full speed 2.0; 2 = High speed 2.0 3 1 = 4-ch 10-bit ADC; 2 = 2-ch 10-bit ADC 4 Multi-channel buffered serial port (McBSP).
5 3 = Two general-purpose timers and one 32-bit DSP/BIOS™ kernel counter, 2 = Two general-purpose timers. 6 Extended temperature device, –40 to 85°C case temperature operation.
7 Plus 1 additional programmable watchdog timer. 8 MicroStar BGA™ package.
Note: All devices include software PLL. Note: Enhanced plastic and HiRel DSP versions are available for selected DSPs.

Texas Instruments 2Q 2009 Embedded Processing Guide


TMS320C5000™ DSP Platform 7


Silicon
TMS320C54x™ DSP Generation, Fixed Point
Power-Efficient Performance DSPs

Get samples, data sheets, tools and app reports at: www.ti.com/c5000

Specifications
• 16-bit fixed-point DSPs
• Power dissipation as low as 40 mW
• Single- and multi-core products
delivering 30–532 MIPS performance
• 1.2-, 1.8-, 2.5-, 3.3- and 5-V
versions available
• Three power-down modes
• Integrated RAM and ROM
configurations
• Auto-buffered serial port
• Multi-channel buffered serial port
• Host port interface
• Ultra-thin packaging (100-, 128-,
144- and 176-pin LQFPs; 143-,
144-, 176- and 169-pin MicroStar
BGAs™)
• 6-channel DMA controller per core
Applications
C54x™ DSP Generation Block Diagram
Digital cellular communications,
This block diagram of the C54x DSP is a comprehensive diagram showing all peripheral options.
personal communications systems, C54x DSPs are optimized to meet the performance, cost and low-power needs of wireless and wire-
pagers, personal digital assistants, line communications systems as well as emerging applications like IP phones, VoP and portable
digital cordless communications, wire- applications.
less data communications, hands-free
car kit, computer telephony, voice over
packet, portable Internet audio,
modems
Features
• Integrated VITERBI accelerator
• 40-bit adder and two 40-bit
accumulators to support parallel
instructions
• 40-bit ALU with a dual 16-bit
configuration capability for dual
one-cycle operations
• 17 × 17 multiplier allowing 16-bit
signed or unsigned multiplication
• Four internal buses and dual
address generators enable multiple
program and data fetches and
reduce memory bottleneck
• Single-cycle normalization and MicroStar BGA™ Package Comparison
exponential encoding The ultra-small physical size (12 mm  12 mm  1.4 mm) of the C5000™
• Eight auxiliary registers and a DSP MicroStar BGA (ball grid array) packaging can also help increase the
software stack enable advanced performance per square inch for MIPS-intensive or space-constrained applica-
fixed-point DSP C compiler tions. The C5509A DSP is also pictured in a 144-pin LQFP.
• Power-down modes for battery-
powered applications

Texas Instruments 2Q 2009 Embedded Processing Guide


8 TMS320C5000™ DSP Platform
 Silicon
TMS320C54x™ DSP Generation
RAM ROM DAT/PRO EMIF Voltage (V) 1-KU
Part Number (Bytes) (Bytes) (ADDR) (Bytes) (Bits) UART McBSP Core I/O COM Timers DMA MHz MIPS Packaging Price1
TMS320VC5401PGE50 16 K 8K 128 K/2 M 16 – 2 1.8 3.3 HPI 8 2 6 50 50 144 LQFP 3.50
TMS320VC5401GGU50 16 K 8K 128 K/2 M 16 – 2 1.8 3.3 HPI 8 2 6 50 50 144 BGA2 3.50
TMS320VC5401ZGU50 16 K 8K 128 K/2 M 16 – 2 1.8 3.3 HPI 8 2 6 50 50 144 BGA 3.50
TMS320UC5402PGE80 32 K 8K 128 K/2 M 16 – 2 1.8 1.8–3.6 HPI 8 2 6 80 80 144 LQFP 5.50
TMS320UC5402GGU80 32 K 8K 128 K/2 M 16 – 2 1.8 1.8–3.6 HPI 8 2 6 80 80 144 BGA2 5.50
TMS320UC5402ZGU80 32 K 8K 128 K/2 M 16 – 2 1.8 1.8–3.6 HPI 8 2 6 80 80 144 BGA2 5.50
TMS320VC5402GGU100 32 K 8K 128 K/2 M 16 – 2 1.8 3.3 HPI 8 2 6 100 100 144 BGA 5.50
TMS320VC5402GGUR10 32 K 8K 128 K/2 M 16 – 2 1.8 3.3 HPI 8 2 6 100 100 144 BGA 5.90
TMS320VC5402PGE100 32 K 8K 128 K/2 M 16 – 2 1.8 3.3 HPI 8 2 6 100 100 144 LQFP 5.50
TMS320VC5402PGER10 32 K 8K 128 K/2 M 16 – 2 1.8 3.3 HPI 8 2 6 100 100 144 LQFP 5.90
TMS320VC5402ZGU100 32 K 8K 128 K/2 M 16 – 2 1.8 3.3 HPI 8 2 6 100 100 144 BGA 5.50
TMS320VC5402ZGUR10 32 K 8K 128 K/2 M 16 – 2 1.8 3.3 HPI 8 2 6 100 100 144 BGA 5.90
TMS320VC5402APGE16 32 K 32 K 128 K/16 M 16 – 3 1.6 3.3 HPI 8 1 6 160 160 144 LQFP 10.86
TMS320VC5402AGGU16 32 K 32 K 128 K/16 M 16 – 3 1.6 3.3 HPI 8 1 6 160 160 144 BGA2 10.86
TMS320VC5402AZGU16 32 K 32 K 128 K/16 M 16 – 3 1.6 3.3 HPI 8/16 1 6 160 160 144 BGA 10.86
TMS320VC5404PGE 32 K 128 K 128 K/16 M 1 3 1.5 3.3 HPI 8/16 2 6 120 120 144 LQFP 7.96
TMS320VC5404GGU 32 K 128 K 128 K/16 M 1 3 1.5 3.3 HPI 8/16 2 6 120 120 144 BGA2 7.96
TMS320VC5407PGE 80 K 256 K 128 K/16 M 1 3 1.6 3.3 HPI 8/16 2 6 120 120 144 LQFP 8.93
TMS320VC5407GGU 80 K 256 K 128 K/16 M 1 3 1.6 3.3 HPI 8/16 2 6 120 120 144 BGA2 8.93
TMS320VC5407ZGU 80 K 256 K 128 K/16 M 16 1 3 1.5 3.3 HPI 8/16 2 6 120 120 144 BGA 8.93
TMS320VC5409PGE-80 64 K 32 K 128 K/16 M 16 – 3 1.8 3.3 HPI 8/16 1 6 80 80 144 LQFP 8.47
TMS320VC5409GGU-80 64 K 32 K 128 K/16 M 16 – 3 1.8 3.3 HPI 8/16 1 6 80 80 144 BGA2 8.47
TMS320VC5409ZGU-80 64 K 32 K 128 K/16 M 16 – 3 1.8 3.3 HPI 8/16 1 6 80 80 144 BGA 8.47
TMS320VC5409PGE100 64 K 32 K 128 K/16 M 16 – 3 1.8 3.3 HPI 8/16 1 6 100 100 144 LQFP 10.42
TMS320VC5409GGU100 64 K 32 K 128 K/16 M 16 – 3 1.8 3.3 HPI 8/16 1 6 100 100 144 BGA2 10.42
TMS320VC5409ZGU-100 64 K 32 K 128 K/16 M 16 – 3 1.8 3.3 HPI 8/16 1 6 100 100 144 BGA 10.42
TMS320VC5409APGE12 64 K 32 K 128 K/16 M 16 – 3 1.5 3.3 HPI 8/16 1 6 120 120 144 LQFP 12.29
TMS320VC5409AGGU12 64 K 32 K 128 K/16 M 16 – 3 1.5 3.3 HPI 8/16 1 6 120 120 144 BGA2 12.29
TMS320VC5409AZGU12 64 K 32 K 128 K/16 M 16 – 3 1.5 3.3 HPI 8/16 1 6 120 120 144 BGA 12.29
TMS320VC5409APGE16 64 K 32 K 128 K/16 M 16 – 3 1.6 3.3 HPI 8/16 1 6 160 160 144 LQFP 13.64
TMS320VC5409AGGU16 64 K 32 K 128 K/16 M 16 – 3 1.6 3.3 HPI 8/16 1 6 160 160 144 BGA2 13.64
TMS320VC5409AZGU16 64 K 32 K 128 K/16 M 16 – 3 1.6 3.3 HPI 8/16 1 6 160 160 144 BGA 13.64
TMS320VC5410PGE100 128 K 32 K 128 K/16 M 16 – 3 2.5 3.3 HPI 8 1 6 100 100 144 LQFP 29.43
TMS320VC5410GGW100 128 K 32 K 128 K/16 M 16 – 3 2.5 3.3 HPI 8 1 6 100 100 176 BGA2 29.43
TMS320VC5410ZGW100 128 K 32 K 128 K/16 M 16 – 3 2.5 3.3 HPI 8 1 6 100 100 176 BGA 29.43
TMS320VC5410APGE12 128 K 32 K 128 K/16 M 16 – 3 1.5 3.3 HPI 8/16 1 6 120 120 144 LQFP 14.79
TMS320VC5410AGGU12 128 K 32 K 128 K/16 M 16 – 3 1.5 3.3 HPI 8/16 1 6 120 120 144 BGA2 14.79
TMS320VC5410AZGU12 128 K 32 K 128 K/16 M 16 – 3 1.5 3.3 HPI 8/16 1 6 120 120 144 BGA 14.79
TMS320VC5410APGE16 128 K 32 K 128 K/16 M 16 – 3 1.6 3.3 HPI 8/16 1 6 160 160 144 LQFP 16.42
TMS320VC5410AGGU16 128 K 32 K 128 K/16 M 16 – 3 1.6 3.3 HPI 8/16 1 6 160 160 144 BGA2 16.42
TMS320VC5410AZGU16 128 K 32 K 128 K/16 M 16 – 3 1.6 3.3 HPI 8/16 1 6 160 160 144 BGA 16.42
TMS320VC5416PGE120 256 K 32 K 128 K/16 M 16 – 3 1.5 3.3 HPI 8/16 1 6 120 120 144 LQFP 24.68
TMS320VC5416GGU120 256 K 32 K 128 K/16 M 16 – 3 1.5 3.3 HPI 8/16 1 6 120 120 144 BGA2 24.68
TMS320VC5416ZGU120 256 K 32 K 128 K/16 M 16 – 3 1.5 3.3 HPI 8/16 1 6 120 120 144 BGA 24.68
TMS320VC5416PGE160 256 K 32 K 128 K/16 M 16 – 3 1.6 3.3 HPI 8/16 1 6 160 160 144 LQFP 27.39
TMS320VC5416GGU160 256 K 32 K 128 K/16 M 16 – 3 1.6 3.3 HPI 8/16 1 6 160 160 144 BGA2 27.39
TMS320VC5416ZGU160 256 K 32 K 128 K/16 M 16 – 3 1.6 3.3 HPI 8/16 1 6 160 160 144 BGA 27.39
TMS320VC549PGE80 64 K 32 K 128 K/16 M 16 – – 2.5 3.3 HPI 8 1 – 80 80 144 LQFP 23.94
TMS320VC549GGU80 64 K 32 K 128 K/16 M 16 – – 2.5 3.3 HPI 8 1 – 80 80 144 BGA 23.94
TMS320VC549ZGU80 64 K 32 K 128 K/16 M 16 – – 2.5 3.3 HPI 8 1 – 80 80 144 BGA 23.94
TMS320VC549PGE100 64 K 32 K 128 K/16 M 16 – – 2.5 3.3 HPI 8 1 – 100 100 144 LQFP 26.36
TMS320VC549GGU100 64 K 32 K 128 K/16 M 16 – – 2.5 3.3 HPI 8 1 – 100 100 144 BGA 26.36
TMS320VC549ZGU100 64 K 32 K 128 K/16 M 16 – – 2.5 3.3 HPI 8 1 – 100 100 144 BGA 26.36
TMS320VC549PGE120 64 K 32 K 128 K/16 M 16 – – 2.5 3.3 HPI 8 1 – 120 120 144 LQFP 31.64
TMS320VC549GGU120 64 K 32 K 128 K/16 M 16 – – 2.5 3.3 HPI 8 1 – 120 120 144 BGA 31.64
TMS320VC549ZGU120 64 K 32 K 128 K/16 M 16 – – 2.5 3.3 HPI 8 1 – 120 120 144 BGA 31.64
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing
information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
2 MicroStar BGA™ package.

Note: All devices include software PLL.


Note: Enhanced plastic and HiRel DSP versions are available for selected DSPs.

Texas Instruments 2Q 2009 Embedded Processing Guide


TMS320C5000™ DSP Platform 9


Tools, Software and Support
TMS320C55x™ and TMS320C54x™ DSP Generation Hardware and Software Development Tools
Description Part # $U.S.1
C5000™ DSP Starter Kits (DSKs)
TMS320C55x Low-Power Optimization DSP Starter Kit (DSK) TMDSDSK5509 (U.S. part number) 495
TMS320C54x DSP Starter Kit (DSK), TMS320C5416 DSP based2 TMDSDSK5416 (U.S. part number) 395
TMS320C55x DSP Starter Kit (DSK), TMS320C5510 DSP based2 TMDSDSK5510 (U.S. part number) 395
Evaluation Module
TMS320C5504/C5505 Evaluation Module TMDXEVM5505 395
Medical Development Kit – Electrocardiogram Analog Front End Module (AFE) TMDXMDKEK1258 449
Medical Development Kit – Pulse Oximeter AFE TMDXMDKPO8328 395
Medical Development Kit – Digital Stethoscope AFE TMDXMDKDS3254 325
JTAG Emulators
Spectrum Digital XDS510PP-Plus Emulator TMDSEMUPP (U.S. part number) 1,095
Spectrum Digital XDS510PP-Plus Emulator with European Cords TMDSEMUPP-0E (European part number) 1,095
Spectrum Digital XDS510™ USB Emulator TMDSEMUUSB 1,495
Blackhawk XDS560™ JTAG PCI Emulator TMDSEMU560PCI 2,995
Blackhawk XDS560 USB High-Performance JTAG Emulator TMDSEMU560U 2,999
All available Emulators/Analyzers for C5000 DSP Platform www.ti.com/c5000toolssftwr
Software Development Tools
Code Composer Studio™ Platinum Edition v3.3 Development Tools Bundled with Annual Software Subscription TMDSCCSALL-1 3,595
Supports C6000™, C5000, C2000™, DaVinci™ and OMAP™ processor platforms
C6000, C5000, OMAP, DaVinci, C2000 DSP Code Composer Studio Development Tools Annual Software TMDSSUBALL 600
Subscription for Version 3.1 and higher
Essential Guide to Getting Started with DSP CD-ROM SPRC119 Free
Includes C6000, C5000, C2000, DaVinci and OMAP processor CCStudio 120-Day Free Evaluation Tools3 www.ti.com/freetools
C54x™ DSP Software Library SPRC099 Free
C55x™ DSP Software Library SPRC100 Free
C55x DSP Imaging Software Library SPRC101 Free
C54x DSP Chip Support Library SPRC132 Free
C55x DSP Chip Support Library SPRC133 Free
C5000 DSP Software Provider/List www.ti.com/c5000sftwrprov
C5000 DSP Development Tool Provider/List www.ti.com/c5000devtoolprov
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain New tools are listed in red.
the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
2 Includes a DSK version of Code Composer Studio Development Tools restricted for use only with the DSP target board included in the kit, power supply and cables.
3 Includes full-featured Code Composer Studio Development Tools, code generation tools (C/C++ compiler/assembler/linker), emulator and simulator configurations all limited to 120 days.

Please see the tools features matrix on page 59 for more details.

C5000 DSP Literature and Related Technical Documentation


All documentation and associated literature, user’s guides, application notes and software can be found by clicking on the specific device
in the parametric table found on the URLs below.

TMS320C54x DSP Generation www.ti.com/c54x TMS320C55x DSP Generation www.ti.com/c55x

Texas Instruments 2Q 2009 Embedded Processing Guide


10 TMS320C5000™ DSP Platform
 Power Management Products
Power Management Products for the C5000™ DSP Platform
Get samples, data sheets, Evaluation Modules (EVMs) and app reports at: power.ti.com

Suggested Texas Instruments Power Management Solutions for the C5000 DSP Platform
Core and I/O Voltages
Non-Synchronous Controller Synchronous Dual-Output
Input Voltage LDO (External FET and Diode) Integrated FET Converter Converter
3.3 V TPS736xx TPS64200 TPS62300 TPS62400
5V TPS736xx TPS64200 TPS62300 TPS62400
TPS54386
12 V TLV1117 TPS40190 TPS62110 TPS54386
TPS5124
24 V TPS5124 TPS54386
I/O supply 3.3 V, current up to 250 mA
Core supply down to 1.2 V, current up to 250 mA

Example C5000 DSP Power Supply Design


U2
TPS76933DBV
VIN 1 5 VIO
IN OUT
2
GND +
C2 3 4
R3 EN NC/FB C4 R7
U3
R4 TPS3103K33DBV
Q1 6 1
2SC2412K VDD RESET RESET
5 2
PFO GND
4 3
PFI MR
Sequencing Circuit R7
U1
EN TPS6230XYZD VCORE
A2 A1 L1
B2 VIN GND B1
R2 C2 EN SW C1
C1 ADJ M/S
D2 D1 C3
FB VOUT

R1

For additional power supply designs for TI DSPs, please visit www.ti.com/processorpower.
Note: The TI power devices recommended here are based on standard operating conditions. System designers should use device power estimation tools in conjunction with overall application level
power requirements to ensure an adequate power supply design is used.

Texas Instruments 2Q 2009 Embedded Processing Guide


TMS320C6000™ DSP Platform 11


Silicon
TMS320C64x™ DSP Generation, Fixed Point
Highest-Performance and Performance Value DSPs

Get samples, data sheets, tools and app reports at: www.ti.com/c6000

Specifications

Increasing Performance, Memory & Peripherals


• Broadest portfolio of high-performance Software Compatible
DSPs ranging from sub U.S. $10.10 to
• Ranging from sub U.S. $10.10 to 3.0 GHz High-Performance
3 GHz of raw DSP horsepower • 30K+ programmers C64x™ Multi-Core
• Shipping the industry’s first 1.2-GHz • Millions shipped to date Multi-Core
single-core DSPs Next
• Enabling more channels and function-
C6474 High-Performance
ality with high-performance, single- Single-Core
DSP C64x
core and multi-core DSPs Next
• Upward 100% object code compatibil-
ity within C6000™ DSP platform C6452/4/5/7
DSP
• The easiest-to-use integrated develop- C6414/5/6
ment environment with the industry’s DSP Performance
C64x Value DSP
best optimizing C compiler C6421/4 Next
C64x C6410/2/3/8 DSP
Applications DSP DSP
Wireless infrastructure (adaptive anten-
nas, basestations, gateways), telecom C6000™ DSP Platform Roadmap
infrastructure (RAS, PBX, VoIP), digital The C6000 DSP platform includes a wide range of devices that raise the bar in performance, set
video (conferencing, surveillance, en- new levels of cost efficiency and offer on-chip peripheral integration to enable developers of high-
performance systems to choose the device that best suits their specific application.
coders, statistical remultiplexor/broad-
band routers, network projector, digital Note: Information on DaVinci™ processor products can be found beginning on page 24.
signage), imaging (medical, machine
vision/inspection, defense/radar/sonar)
Features
• VelociTI.2 architecture extensions with TMS320C6424
new instructions to accelerate per- OSC PLL JTAG
formance in key applications DDR2 32 DDR Timer
• Improved orthogonality with frequently PLL WDT
used instructions available in more EDMA 3.0
functional units
EMIF 16 GPIO
• Double the bandwidth resulting from
more registers, wider load/store data PCI 33 DSP Subsystem
McBSP
paths and enlarged two-level cache or L1D 80 KB McBSP
VLYNQ™ L2
Peripherals C64x+™
128-KB or
• Enhanced direct memory access RMII/MII Core McASP
Cache
controller or L1P 32 KB
• Peripheral component interconnect HPI Timer ×2
• Universal test and operation PHY RMII
PWM ×3
interface for ATM (UTOPIA) 2
IC UART ×2
• Viterbi and Turbo coprocessors
• External memory interfaces
• Multi-channel buffered serial ports Please check user guide for MUXing options.

• Host port interfaces The C642x DSPs come in two flavors – the C6421 and C6424 DSPs. These DSPs are pin-for-pin
• Serial RapidIO® compatible, start from U.S. $10.10 (1-KU volumes) and are completely scalable in speed and/or
• Gigabit Ethernet MAC (SGMII) feature set. Both new DSPs are available in 400, 500 and 600 MHz speeds, and have the same raw
processing power, but differ in on-chip memory and peripherals.

Texas Instruments 2Q 2009 Embedded Processing Guide


12 TMS320C6000™ DSP Platform
 Silicon
TMS320C64x™ DSP Generation – Performance Value Fixed-Point DSPs
Internal RAM (Bytes)
L1 Program Cache/ Enhanced Power (W)2
L1 Data Cache/ DMA CPU Voltage (V) 1-KU
Part Number L2 Unified RAM/Cache McBSP (Channels) COM Timers MHz MIPS and L1 Total Core I/O Packaging Price1
Performance Value
TMS320C6410GTS400 16 K/16 K/128 K 2 64 HPI 32/163 3 400 3200 0.58 1.0 1.2 3.3 288 BGA, 23 mm 17.24
TMS320C6413GTS500 16 K/16 K/256 K 2 64 HPI 32/163 3 500 4000 0.58 1.1 1.2 3.3 288 BGA, 23 mm 23.67
TMS320C6412AGDK5 16 K/16 K/256 K 2 64 PCI/HPI/EMAC4 3 500 4000 0.66 1.3 1.2 3.3 548 BGA, 23 mm 34.92
TMS320C6412AGDK6 16 K/16 K/256 K 2 64 PCI/HPI/EMAC4 3 600 4800 0.93 1.9 1.4 3.3 548 BGA, 23 mm 38.41
TMS320C6412AGDK7 16 K/16 K/256 K 2 64 PCI/HPI/EMAC4 3 720 5760 0.93 2.15 1.4 3.3 548 BGA, 23 mm 60.06
TMS320C6418GTS600 16 K/16 K/512 K 2 64 HPI 32/163 3 600 48005 0.82 1.7 1.4 3.3 288 BGA, 23 mm 44.11
TMS320C6418ZTSA500 16 K/16 K/512 K 2 64 HPI 32/163 3 500 40005 0.58 1.1 1.4 3.3 288 BGA, 23 mm 44.11
TMS320C6424ZWT4 32 K/80 K/128 K 2 64 PCI/HPI/EMAC6 3 400 3200 0.372 0.543 1.05/1.2 1.8/3.3 361 PBGA, 16 mm 17.50
TMS320C6424ZWT5 32 K/80 K/128 K 2 64 PCI/HPI/EMAC6 3 500 4000 0.397 0.87 1.2 1.8/3.3 361 PBGA, 16 mm 21.88
TMS320C6424ZWTQ57 32 K/80 K/128 K 2 64 PCI/HPI/EMAC6 3 500 4000 0.397 0.87 1.2 1.8/3.3 361 PBGA, 16 mm 26.25
TMS320C6424ZDUQ5 7 32 K/80 K/128 K 2 64 PCI/HPI/EMAC6 3 500 4000 0.397 0.87 1.2 1.8/3.3 376 PBGA, 23 mm 26.25
TMS320C6424ZWT6 32 K/80 K/128 K 2 64 PCI/HPI/EMAC6 3 600 4800 0.628 0.838 1.2 1.8/3.3 361 PBGA, 16 mm 27.48
TMS320C6421ZWT4 48 K/16 K/64 K 1 64 HPI/EMAC6 3 400 3200 0.372 0.543 1.05/1.2 1.8/3.3 361 PBGA, 16 mm 10.10
TMS320C6421ZWT5 48 K/16 K/64 K 1 64 HPI/EMAC6 3 500 4000 0.397 0.87 1.2 1.8/3.3 361 PBGA, 16 mm 13.53
TMS320C6421ZWTQ57 48 K/16 K/64 K 1 64 HPI/EMAC6 3 500 4000 0.397 0.87 1.2 1.8/3.3 361 PBGA, 16 mm 16.20
TMS320C6421ZDUQ5 48 K/16 K/64 K 1 64 HPI/EMAC6 3 500 4000 0.397 0.87 1.2 1.8/3.3 376 PBGA, 23 mm 16.20
TMS320C6421ZWT6 48 K/16 K/64 K 1 64 HPI/EMAC6 3 600 4800 0.628 0.838 1.2 1.8/3.3 361 PBGA, 16 mm 19.19
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain New devices are listed in red.
the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
2 Assumes 60% CPU utilization, 50% EMIF utilization (133 MHz for 1.4 V, 100 MHz for 1.2 V), 50% writes, 64-bits, 50% bit switching, 2 2-MHz McBSP at 100% utilization, and 2 75-MHz timers at 100% utilization.

See SPRAA59 for the TMS320C6410 and TMS320C6413 DSPs. See SPRA967 for the TMS320C6412A DSP. See SPRAA60 for the TMS320C6418 DSP. See SPRAAO9 for the TMS320C6421 and TMS320C6424 DSPs.
3 HPI is selectable, 32 bit or 16 bit. 4 The C6412 can be configured to have either a 32-bit PCI or 32-bit HPI, or a 16-bit HPI with Ethernet MAC.
5 Plus on-chip Viterbi (VCP) coprocessor. 6 HPI interface is 16 bit.
7 Q designates Q100 automotive reliability.

Note: Check www.ti.com for extended temperature and packaging options. Additional information on enhanced plastic and HiRel DSP versions is available on page 103.

TMS320C64x™ DSP Generation – Highest-Performance Fixed-Point DSPs


Internal RAM (Bytes)
L1 Program Cache/ Enhanced Power (W)2
L1 Data Cache/ DMA CPU Voltage (V) 1-KU
Part Number L2 Unified RAM/Cache McBSP (Channels) COM3 Timers MHz MIPS and L1 Total Core I/O Packaging Price1
Highest Performance
TMS320C6416TBGLZ1 16K/16K/1M 2+Utopia4 64 PCI/HPI 32/16 3 1000 80005 0.44 1.65 1.2 3.3 532 BGA, 23 mm 205.80
TMS320C6416TGLZ8 16K/16K/1M 2+Utopia4 64 PCI/HPI 32/16 3 850 68005 0.44 1.46 1.2 3.3 532 BGA, 23 mm 165.15
TMS320C6416TBGLZ7 16K/16K/1M 2+Utopia4 64 PCI/HPI 32/16 3 720 57605 0.44 1.36 1.2 3.3 532 BGA, 23 mm 103.30
TMS320C6416TGLZ6 16K/16K/1M 2+Utopia4 64 PCI/HPI 32/16 3 600 48005 0.39 1.1 1.1 3.3 532 BGA, 23 mm 82.65
TMS320C6415TBGLZ1 16K/16K/1M 2+Utopia4 64 PCI/HPI 32/16 3 1000 8000 0.44 1.65 1.2 3.3 532 BGA, 23 mm 183.25
TMS320C6415TBGLZ8 16K/16K/1M 2+Utopia4 64 PCI/HPI 32/16 3 850 6800 0.44 1.46 1.2 3.3 532 BGA, 23 mm 138.25
TMS320C6415TBGLZ7 16K/16K/1M 2+Utopia4 64 PCI/HPI 32/16 3 720 5760 0.44 1.36 1.2 3.3 532 BGA, 23 mm 93.95
TMS320C6415TBGLZ6 16K/16K/1M 2+Utopia4 64 PCI/HPI 32/16 3 600 4800 0.39 1.1 1.1 3.3 532 BGA, 23 mm 75.15
TMS320C6414TBGLZ1 16K/16K/1M 3 64 HPI 32/16 3 1000 8000 0.44 1.65 1.2 3.3 532 BGA, 23 mm 173.50
TMS320C6414TBGLZ8 16K/16K/1M 3 64 HPI 32/16 3 850 6800 0.44 1.46 1.2 3.3 532 BGA, 23 mm 131.40
TMS320C6414TBGLZ7 16K/16K/1M 3 64 HPI 32/16 3 720 5760 0.44 1.36 1.2 3.3 532 BGA, 23 mm 89.25
TMS320C6414TBGLZ6 16K/16K/1M 3 64 HPI 32/16 3 600 4800 0.39 1.1 1.1 3.3 532 BGA, 23 mm 71.40
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI prior
to placing orders. TI may verify final pricing prior to accepting any order.
2 Assumes 60% CPU utilization, 50% EMIF utilization (133 MHz for 1.4 V, 100 MHz for 1.2 V), 50% writes, 64-bits, 50% bit switching, 2 2-MHz McBSP at 100% utilization, and 2 75-MHz timers at 100% utilization.

See SPRAA45 for TMS320C6414T, TMS320C6415T and TMS320C6416T DSPs.


3 HPI is selectable, 32 bit or 16 bit. 4 UTOPIA pins muxed with a second McBSP.
5 Plus on-chip Turbo (TCP) and Viterbi (VCP) coprocessors.

Note: Check www.ti.com for extended temperature and packaging options. Additional information on enhanced plastic and HiRel DSP versions is available on page 103.

Texas Instruments 2Q 2009 Embedded Processing Guide


TMS320C6000™ DSP Platform 13


Tools and Resources
TMS320C64x™ Hardware and Software Development Tools
Description Part Number $U.S.1
Hardware Development Tool
TMS320C6416 DSP Starter Kit (DSK) TMDSDSK6416-T (U.S. part number) 495
TMDSDSK6416-TE (European part number)
Evaluation Module (EVM)
TMS320C6424 Evaluation Module (EVM) TMDXEVM6424 495
JTAG Emulators
Spectrum Digital XDS510PP-Plus Emulator TMDSEMUPP (U.S. part number) 1,095
Spectrum Digital XDS510PP-Plus Emulator with European Cords TMDSEMUPP-0E (European part number) 1,095
Spectrum Digital XDS510™ USB Emulator TMDSEMUUSB 1,495
Blackhawk XDS560™ JTAG PCI Emulator TMDSEMU560PCI 2,995
Blackhawk XDS560 USB High-Performance JTAG Emulator TMDSEMU560U 2,999
XDS560 USB Trace Emulator2 TMDSEMU560T 9,995
Software Development Tools
Code Composer Studio Platinum v 3.3 Development Tools Bundled with Annual S/W Subscription TMDSCCSALL-1 3,595
Supports C6000™, C5000™, C2000™, DaVinci™ and OMAP™ processor platforms
VLIB Software Library www.ti.com/vlib Free
VICP Signal Processing Library SPRC847/831 Free
C6000, C5000, C2000, DaVinci and OMAP processor CCStudio Development Tools Annual Software TMDSSUBALL 600
Subscription for Version 3.10 and higher
Code Composer Studio IDE Free Evaluation Tools SPRC119 (www.ti.com/freetools) Free
Includes C6000, C5000, C2000, DaVinci and OMAP processor CCStudio 120-Day Free Evaluation Tools3
TMS320C6000 DSP Chip Support Library SPRC090 Free
TMS320C64x™ DSP Library SPRC092 Free
TMS320C64x DSP Image Library SPRC094 Free
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers New tools are listed in bold red.
are advised to obtain the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
2 The XDS560 Trace is designed for use with trace-enabled digital signal processors. Currently the following processors are fully supported by trace: TMS320C6418, TMS320C6416T,

TMS320C6415T, TMS320C6414T, TMS320C6413, TMS320C6412, TMS320C6411 and TMS320C6410 processors.


3 Includes full-featured Code Composer Studio Development Tools, code generation tools (C/C++ compiler/assembler/linker) and simulator all limited to 120 days.

Please see the tools features matrix on page 59 for more details.

C64x™ Literature and Related Technical Documentation


All documentation and associated literature, user’s guides, application notes and software can be found by clicking on the specific device
in the parametric table found on the URL below.

TMS320C64x DSP Generation www.ti.com/c64x

Texas Instruments 2Q 2009 Embedded Processing Guide


14 TMS320C6000™ DSP Platform
 Silicon
TMS320C645x DSP Generation, Fixed Point
Highest-Performance DSPs

Get samples, data sheets, tools and app reports at: www.ti.com/c6000

Specifications TMS320C6457
• TMS320C6457 DSP offers superior
C64x+™
memory and cache performance, Core PLL Timers
dual-TCP2 accelerators, and Serial Boot ROM
RapidIO® for DSP-to-DSP, switch L1 D MEM

and FPGA interconnectivity L1 P MEM TCP2


• TMS320C6455 DSP offers Serial
L2 MEM
RapidIO for DSP-to-DSP, switch and TCP2 VCP2

FPGA interconnectivity
EDMA 3.0 with Switch Fabric
• TMS320C6452 DSP offers Gigabit
Ethernet switch with two EMAC
ports for ease of scalability

EMIF 64
UTOPIA
McBSP

SGMI

DDR2
GPIO

SRIO
HPI
• Enhanced core enables 20 percent

I2C
higher cycle performance
• 20–30 percent smaller code size
TMS320C6457 DSP Block Diagram
from 16-bit compact instructions
C6457 DSPs offer superior memory and cache performance, dual-TCP2 accelerators and faster
and SPLOOP buffer DDR2 interface over C6455 DSPs.
Applications
Video and voice transcoding, video TMS320C64x+ DSP Core Benefits and Features
Benefits Supporting Architecture Features
conferencing servers, high-definition
20% higher cycle performance improves overall • Doubled multiplication bandwidth
(HD) video encoding and mixer
system performance • Instruction set enhancements for FFT, FIR and DCT
systems, wireless basestation trans- • EDMA 3.0 engine
ceivers, HD Radio™, medical imaging, 20–30% smaller code size reduces system cost • 16-bit compact instructions
photo labs, industrial vision, military, • SPLOOP buffer
printing and VoIP infrastructure Enhanced development • Real-time bandwidth management
• Memory protection
Features
Better debug • Exception handling
• TMS320C64x+™ core • Cache coherency visibility
• 720 MHz, 850 MHz, 900 MHz,
1 GHz and 1.2 GHz
• Memory TMS320C6452 PLL JTAG
• 32-KB L1D, 32-KB L1P
DDR2 32b DDR
cache/SRAM PLL
• Up to 2 MB L2 Switch Fabric/EDMA 3.0
• Up to 1-MB cacheable L2 memory VLYNQ™ GPIO
• Acceleration EMIF 16b DSP Subsystem
McASP
• Viterbi coprocessor (VCP2) or
UHPI L1D 32 KB
• Turbo-decoder coprocessor (TCP2) or C64x+™
L2 TSIP (×2)
1.4 MB
PCI66 Core Cache
Peripherals L1P 32 KB Timer ×4
• Serial RapidIO SGMII
SGMII
GigSwitch

SPI
• Gigabit Ethernet MAC
UART
UART
• Telecom serial interface port (TSIP) SGMII
SGMII II2C
• Other high-bandwidth peripherals:
UTOPIA, PCI-66, HPI
• Up to two EMIFs: 32-bit DDR2, The C6452 DSP provides customers lower system cost by offering a greater channel density and
64-bit EMIF more peripheral integration. The C6452 DSP will be offered at both 900 MHz and 720 MHz.

Texas Instruments 2Q 2009 Embedded Processing Guide


TMS320C6000™ DSP Platform 15


Silicon
TMS320C645x DSP Generation – Highest-Performance Fixed-Point DSPs
Internal RAM (Bytes)
L1 Program Cache/ Enhanced Power (W)2
L1 Data Cache/ DMA Internal Voltage (V) 1-KU
Part Number Cores L2 Unified RAM/Cache McASP McBSP TSIP (Channels) COM3 Timers MHz MIPS Logic Total Core I/O Packaging Price1
Highest-Performance DSPs
TMS320C6457CMH 1 32 K/32 K/2 M – 2+Utopia4 – 64 Serial RapidIO/HPI/ 25 1000 8000 1.85 2.33 1.1 3.3, 1.8, 688 BGA, 23 mm 111.85
Gigabit EMAC 1.1
TMS320C6457CMH2 1 32 K/32 K/2 M – 2+Utopia4 – 64 Serial RapidIO/HPI/ 25 1200 9600 2.79 3.27 1.2 3.3, 1.8, 688 BGA, 23 mm 145.41
Gigabit EMAC 1.2
TMS320C6455BZTZ2 1 32 K/32 K/2 M – 2+Utopia4 – 64 Serial RapidIO/HPI/ 25 1200 96006 1.76 2.30 1.25 3.3, 1.8, 697 BGA, 24 mm 215.72
PCI/Gigabit EMAC 1.5, 1.25
1
TMS320C6455BZTZ 32 K/32 K/2 M – 2+Utopia4 – 64 Serial RapidIO/HPI/ 25 1000 80006 1.66 2.19 1.25 3.3, 1.8, 697 BGA, 24 mm 171.36
PCI/Gigabit EMAC 1.5, 1.25
TMS320C6455BZTZ8 1 32 K/32 K/2 M – 2+Utopia4 – 64 Serial RapidIO/HPI/ 25 850 68006 1.41 1.94 1.2 3.3, 1.8, 697 BGA, 24 mm 148.86
PCI/Gigabit EMAC 1.5, 1.2
1
TMS320C6455BZTZ7 32 K/32 K/2 M – 2+Utopia4 – 64 Serial RapidIO/HPI/ 25 720 57606 1.29 1.81 1.2 3.3, 1.8, 697 BGA, 24 mm 126.15
PCI/Gigabit EMAC 1.5, 1.2
TMS320C6454BZTZ2 1 32 K/32 K/1 M – 2 – 64 HPI/PCI/ 25 1200 9600 1.76 2.30 1.25 3.3, 1.8, 687 BGA, 24 mm 175.07
Gigabit EMAC 1.5, 1.25
TMS320C6454BZTZ 1 32 K/32 K/1 M – 2 – 64 HPI/PCI/ 25 1000 8000 1.66 2.19 1.25 3.3, 1.8, 687 BGA, 24 mm 134.13
Gigabit EMAC 1.5, 1.25
TMS320C6454BZTZ8 1 32 K/32 K/1 M – 2 – 64 HPI/PCI/ 25 850 6800 1.41 1.94 1.2 3.3, 1.8, 687 BGA, 24 mm 106.26
Gigabit EMAC 1.5, 1.2
TMS320C6454BZTZ7 1 32 K/32 K/1 M – 2 – 64 HPI/PCI/ 25 720 5760 1.29 1.81 1.2 3.3, 1.8, 687 BGA, 24 mm 87.10
Gigabit EMAC 1.5, 1.2
TMS320C6452ZUT9 1 32 K/32 K/1.4 M 1 – 2 64 2×SGMII/Gigabit EMAC 45 900 7200 2.173 3.373 1.2 3.3, 1.8 529 BGA, 19 mm 91.31
Switch/UHPI/PCI/VLYNQ
TMS320C6452ZUT7 1 32 K/32 K/1.4 M 1 – 2 64 2×SGMII/Gigabit EMAC 45 720 5760 1.92 3.116 1.2 3.3, 1.8 529 BGA, 19 mm 80.10
Switch/UHPI/PCI/VLYNQ
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain New devices are listed in red.
the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
2 Assumes the following conditions: 60% CPU utilization; DDR2 at 50% utilization (250 MHz), 50% writes, 32 bits, 50% bit switching; two 2-MHz McBSPs at 100% utilization, 50% switching; two 75-MHz timers at
100% utilization; device configured for HPI32 mode with pull-up resistors on HPI pins; room temperature (25°C). See SPRAAE8 for TMS320C6455 and TMS320C6454 DSPs. See SPRAAR5 for TMS320C6452 DSPs.
3 HPI is selectable, 32 bit or 16 bit. 4 UTOPIA pins muxed with a second McBSP.
5 64-bit configurable timers. 6 Plus on-chip Turbo (TCP) and Viterbi (VCP) coprocessors.

Note: Check www.ti.com for extended temperature and packaging options. Additional information on enhanced plastic and HiRel DSP versions is available on page 103.

Tools available for the TMS320C645x DSP generation are listed on page 17.

Texas Instruments 2Q 2009 Embedded Processing Guide


16 TMS320C6000™ DSP Platform
 Silicon
TMS320C647x DSP Generation, Fixed Point
Highest-Performance Multi-Core DSPs

Get samples, data sheets, tools and app reports at: www.ti.com/c6000

Specifications TMS320C6474
• Three 1-GHz cores:
48,000 (8-bit) MMACS / 24,000 C64x+™ C64x+ C64x+
(16-bit) MMACS peak performance Core Core Core
• Software backward compatibility
allows for easier porting to new DSP L1 Data L1 Data L1 Data
• Fast core-to-core communications, L1 Prog L1 Prog L1 Prog
less cycles/lower latency
• VCP2 and TCP2 accelerators for L2 Memory L2 Memory L2 Memory
significantly speeding up channel EDMA3.0 with Switch Fabric
decoding operations on-chip, off-
GPIO PLL I2C

VCP2

TCP2
loading the DSP for other processing
• Access memory faster with 667- Timers Others Boot
ROM
MHz high-performance DDR2
• High-speed interconnect enabled by
EMAC, AIF and sRIO SERDES DDR-2 10/100/ Antenna Serial ®
McBSP
interfaces I/F 1G Interface RapidIO
Ethernet
Applications
Communication infrastructure, video TMS320C6474 DSP Block Diagram
infrastructure, high-performance imag- The highly integrated C6474 DSP integrates three of TI’s flagship TMS320C64x+™ cores per chip.
A large amount on-chip memory and fast speed I/O interface enable high-density and high-
ing, server blade, military, aerospace performance applications. Software compatibility allows a straightforward code porting from
and avionics systems, test and meas- C64x+™-core DSPs system.
urement solutions, advanced network-
ing equipments, oil exploration and
modeling equipments, training and
simulation applications
Same performance, 50% more performance,
Features 1/3 less power same power budget
• 3× 1.0-GHz TMS320C64x+™ cores
• Memory Power consumption Ex: 25-W DSP power budget
Board
• 32-KB L1D, 32-KB L1P cache 10 1-GHz 1-GHz 1-GHz 1-GHz
with
DSP DSP DSP DSP
per core ~9W 25-Watt
power
• 3 MB total L2 memory 1-GHz
DSP
1-GHz
DSP
1-GHz
DSP
1-GHz
DSP limit
Watts

• Configurable allocation per core reached


~6W
• Acceleration 5 8-GHz raw performance
More
• Viterbi coprocessor (VCP2) performance
C6474 C6474 C6474 C6474
Multi-Core Multi-Core Multi-Core Multi-Core
for same
• Turbo-decoder coprocessor (TCP2) power
0 12-GHz raw performance budget
Peripherals Three 1-GHz One C6474
• Gigabit Ethernet MAC (EMAC) C6455 DSPs Multi-Core DSP
50% more
• Antenna Interface (AIF)–6 lanes total performance
• Serial RapidIO® (sRIO) – 2 lanes per Watt
total Integrated Solution Improves Power Efficiency
• 667-MHz, high-performance Smaller process node, TI-patented SmartReflex™ technology and eliminating I/O switch with highly
DDR2 interface integrated architecture improve power efficiency of C6474 DSP systems.
• McBSP (TDM)

Texas Instruments 2Q 2009 Embedded Processing Guide


TMS320C6000™ DSP Platform 17


Silicon, Tools and Software
TMS320C647x DSP Generation – Multi-Core Highest-Performance Fixed-Point DSP
Internal RAM (Bytes)
L1 Program Cache/ Enhanced Power (W)2
L1 Data Cache/ DMA Internal Voltage (V) 1-KU
Part Number Cores L2 Unified RAM/Cache McASP McBSP TSIP (Channels) COM3 Timers MHz MIPS Logic Total Core I/O Packaging Price1
Highest-Performance Multi-Core DSPs
TMS320C6474ZUN 3 96 K/96 K/3.072 M – 2 – 64 Serial RapidIO®/ 64 1000 24000 5.04 5.43 0.9 to1.2 1.8, 1.1 561 BGA, 23 mm 224.81
SGMII/Gigabit EMAC Smart-
Reflex™
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain New devices are listed in red.
the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
2 Assumes the following conditions: 60% CPU utilization; DDR2 at 50% utilization (250 MHz), 50% writes, 32 bits, 50% bit switching; two 2-MHz McBSPs at 100% utilization, 50% switching; two 75-MHz timers at
100% utilization; room temperature (25°C). See SPRAAX3 for TMS320C6474 DSPs.
3 HPI is selectable, 32 bit or 16 bit.
4 64-bit configurable timers.

Note: Check www.ti.com for extended temperature and packaging options. Additional information on enhanced plastic and HiRel DSP versions is available on page 103.

TMS320C645x and TMS320C647x DSP Generations Hardware and Software Development Tools
Description Part Number $U.S.1
Hardware Development Tool
TMS320C6455 DSP Starter Kit (DSK) TMDSDSK6455 595
Evaluation Modules (EVM)
TMS320C6474 DSP Evaluation Module TMDXEVM6474 1,995
TMS320C6457 DSP Evaluation Module TMDXEVM6457 1,995
TMS320C6455 DSP Evaluation Module with sRIO TMDXEVM6455 (U.S. part number) 1,795
TMS320C6452 DSP Evaluation Module TMDXEVM6452 1,295
JTAG Emulators
Spectrum Digital XDS510PP-Plus Emulator TMDSEMUPP (U.S. part number) 1,095
Spectrum Digital XDS510PP-Plus Emulator with European Cords TMDSEMUPP-0E (European part number) 1,095
Spectrum Digital XDS510™ USB Emulator TMDSEMUUSB 1,495
Blackhawk XDS560™ JTAG PCI Emulator TMDSEMU560PCI 2,995
Blackhawk XDS560 USB High-Performance JTAG Emulator TMDSEMU560U 2,999
XDS560 USB Trace Emulator2 TMDSEMU560T 9,995
Software Development Tools
Code Composer Studio™ (CCStudio) Platinum v 3.3 Development Tools Bundled with Annual S/W Subscription TMDSCCSALL-1 3,595
Supports C6000™, C5000™, C2000™, DaVinci™ and OMAP™ processor platforms
C6000, C5000, C2000, DaVinci and OMAP processor CCStudio Development Tools Annual Software TMDSSUBALL 600
Subscription for Version 3.10 and higher
Code Composer Studio IDE Free Evaluation Tools SPRC119 (www.ti.com/freetools) Free
Includes C6000, C5000, C2000, DaVinci and OMAP processor CCStudio 120-Day Free Evaluation Tools3
TMS320C6000 DSP Chip Support Library SPRC090 Free
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain New tools are listed in red.
the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
2 The XDS560 Trace is designed for use with trace-enabled digital signal processors. The following processors are fully supported by trace: TMS320C6452, TMS320C6454 and TMS320C6455 DSPs.
3 Includes full-featured Code Composer Studio Development Tools, code generation tools (C/C++ compiler/assembler/linker) and simulator all limited to 120 days.

Please see the tools features matrix on page 59 for more details.

Texas Instruments 2Q 2009 Embedded Processing Guide


18 TMS320C6000™ DSP Platform
 Silicon
TMS320C67x™ DSP Generation, Fixed/Floating Point
Low-Power DSPs

Get samples, data sheets, tools and app reports at: www.ti.com/c6000

Applications Production 300 MHz


7–440 mW*
Vehicle diagnostics, Software-Defined Sampling C674x
Future
int
Radio (SDR), industrial monitoring, test In Development C6748 DSP
ating Po
+ Flo
and measurement equipment (oscillo- Future 300 MHz Fixed
300 MHz 60–470 mW* C6746 DSP
scopes, seismic analyzers etc.), audio 60–470 mW* TMS320C674x devices include:
• SATA
(digital mixers, teleconference sys- C6747 DSP
C6743 DSP
C6742 DSP • USB 2.0 HS PHY
• USB 1.1 FS PHY
tems), medical monitoring, biometrics, C67x DSP
• Up to 448-KB on-chip memory
• 10/100 Ethernet MAC
music effects, speech recognition, C64x DSP
C6745 DSP • mDDR/DDR/SDRAM
• Video port (VPIF)
voice over packet, conference/IP • Universal parallel port (uPP)
• PWMs
C62x DSP • LCD controller
phones, and more…
C674x DSP *For typical use case scenarios. Time

• Supports both fixed point and TMS320C674x DSP Roadmap


floating point TMS320C674x DSP core is a low-power, scalable, highly integrated connected device which com-
• Fully code compatible with all previ- bines the TMS320C64x+™ and TMS320C67x+ DSP cores, and is capable of performing both
fixed- and floating-point operations in a single core.
ous TMS320C6000™ devices
• Lowest-power floating-point DSP
EMIFA
(2× lower than nearest competitor) NAND/ 10/100
SDRAM Ethernet
• Lowest-cost fixed- or floating-point (16-Bit) MAC

networking DSP EMIFB


SDRAM 128-KB
(32-Bit) RAM
• Networking simplified with advanced
Switch Fabric/EDMA
peripherals including 10/100 Ether-
I2C (2)
net MAC, USB 2.0 HS OTG, SATA DSP Subsystem
MMC/SD SPI (2)
• Scalable architecture; pin-for-pin L1D
UART (3)
USB 2.0 32 KB
compatible with select devices in C674x L2 McASP (3) TMS320C6747 Block Diagram
USB 1.1
the OMAP-L1x embedded processor Core 256 KB RTC The C6747 is a low-power processor
generation HPI L1P PWM (3) based on the C674x DSP core. It pro-
32 KB
Timers vides significantly lower power than
• Up to 448 KB of on-chip memory for (2) GPIO
LCD Ctl
other members of the TMS320C6000™
system cost reduction and lower TMS320C6747
platform of DSPs.
power consumption
• Low power consumption ranging WD 64b Timer
(2) PLL uPP
from 7 mW* deep-sleep power to Timer
or 128-KB
RAM
452 mW‡ total power EMIF1
SDR
Video In

• Smaller, ergonomic products with


Switch Fabric/EDMA
13×13-mm packaging
EMIF2 I2C (2)
C672x DSP DSP Subsystem SPI (2)
MMC/SD
• Lowest price floating-point device in (2) L1D UART (3)
32 KB
the market USB 2.0
C674x L2
McASP (1)
• Sixty-four 32-bit registers USB 1.1 Core 256 KB McBSP (2)
L1P RTC
• Flexible boot options EMAC
32 KB
PWM (2)
• dMAX DMA engine tuned for audio UHPI TMS320C6748 Block Diagram
GPIO
performance SATA The TMS320C6748 DSP provides
LCD Ctl
unmatched connectivity options with
C671x DSP TMS320C6748
fixed- and floating-point capabilities.
• L1/L2 cache architecture
• Thirty-two 32-bit registers * Power-use scenario – deep sleep: 1.0-V core, idle ARM® DSP clock OFF, all peripherals clock OFF, RTC ON, PLL disabled, 25°C.
• EDMA DMA engine ‡ Power-use scenario – active: 70% max load of CPU running at 300 MHz at 1.2 V, mDDR 133 MHz/16 bit accessed 50% of the
time, McBSP, SPI and GPIOs peripherals are active, 25°C

Texas Instruments 2Q 2009 Embedded Processing Guide


TMS320C6000™ DSP Platform 19


Silicon
Connectivity, Portability and Low Cost leakage transistor technology, C674x DSPs energy-efficient products by leveraging
with TMS320C674x Digital Signal offer high performance and scalability with existing software code.
Processors power consumption as low as 7 mW* in
Get Started Quickly
The C674x DSP generation provides the deep sleep mode. The high-performance
As the C6747, C6745 and C6743 DSPs
lowest power and a low-cost entry point and low-power silicon architecture and
are pin-for-pin compatible with the
into the TMS320C6000™ DSP platform. power-management software technology
OMAP-L137 processor, designers can
With pricing starting under U.S. $8 in used for C674x DSPs give designers not
start today on their C674x development
1,000-unit quantities and pin-for-pin com- only granularity for frequency and voltage,
with the TMDXOSKL137 Starter Kit. This
patibility with the OMAP-L137 processor, but also the ability to manipulate the indi-
development kit is priced at U.S. $395
designers are easily able to choose the vidual peripherals to further optimize
and is available through all standard TI
right processor to fit their application needs. power consumption.
sales channels. This board includes built-
The high-precision TMS320CC67x+ DSP Chip-level integration includes, but is not in emulation and Code Composer
and high-performance TMS320C64x+™ limited to: Studio™ Integrated Development
cores combine to create this C674x • 10/100 Ethernet MAC Environment support. DSP/BIOS™ operat-
processor core which enables designers • USB 1.1 Host/2.0 Host/Device/OTG ing system and device drivers and the
to develop software using both floating- • MMC/SD controllers associated debugging environment are
and fixed-point instructions in a single • Universal parallel port (uPP) for interfac- also included. For more information on
device. Boasting the lowest power of any ing with FPGAs, high-speed data C674x processors, visit
floating-point DSP in the industry, the converters (C6748 DSP and C6746 www.ti.com/c674x.
C674x core eliminates barriers that once DSP only)
C674x Videos
prohibited designers from developing • Universal Host Port Interface (UHPI) for
Want to hear more about the exciting new
portable products in the floating-point interfacing with other processors
C674x processors? Hear about both the
environment. For current C67x™ and • LCD controller
hardware and software that supports
C64x™ customers, through full code • Serial ATA Interface (C6748 DSP only)
these platforms here:
compatibility with all existing C6000™ • 448-KB on-chip memory
www.ti.com/c674x_comm.
DSP code, the C674x DSP generation • Video port interface (C6748 DSP and
allows designers to reduce their time to C6746 DSP only)
market through re-use of their code. With
C674x Core
the best of both worlds (floating-point and
The TMS320C674x DSP core couples the
fixed-point) in a single core, designers
high precision and wide dynamic range of
now have more flexibility than ever.
TI’s floating-point TMS320C67x+ core
Increase the Battery Life of with the higher system performance of TI’s
Applications Through TI Process fixed-point TMS320C64x+ core. C674x-
Technology based DSPs are object code compatible
* Power-use scenario – deep sleep: 1.0-V core, idle ARM®
Combining industry-leading, cutting-edge with all TMS320C6000 devices so devel- DSP clock OFF, all peripherals clock OFF, RTC ON, PLL
65-nm process technology with low- opers can easily design a broad range of disabled, 25°C.

Texas Instruments 2Q 2009 Embedded Processing Guide


20 TMS320C6000™ DSP Platform
 Silicon
TMS320C67x™ DSP Generation – Floating-Point DSPs
Total
Part Frequency L1P L1D L2 RAM External Voltage (V) Power 1-KU
Number CPU (MHz) (Bytes) (Bytes) (Bytes) (Bytes) Memory I/F DMA Timers Serial Ports Misc Core I/O (mW) Packaging Price1
TMS320C6748ZCE C674x 300 32 K 32 K 256 K 128 K DDR2/mDDR 64 Ch 3 GP, USB 2.0 HS OTG, 10/100 Ethernet MAC, 1.2/ 1.8/ 4422 0.65-mm 361-pin 15.203
SDRAM 1 GP/WD USB 1.1, SATA 2 MMC/SD, 2 PWMs, 1.1/ 3.3 13×13-mm BGA
NAND 1 McASP, 2 McBSP, LCD controller, uHPI, 1.0
NOR 2 SPI, 2 I2C, 3 UART 3 eCAP, Video I/O
TMS320C6748ZWT C674x 300 32 K 32 K 256 K 128 K DDR2/mDDR 64 Ch 3 GP, USB 2.0 HS OTG, 10/100 Ethernet MAC, 1.2/ 1.8/ 4422 0.8-mm 361-pin 15.203
SDRAM 1 GP/WD USB 1.1, SATA 2 MMC/SD, 2 PWMs, 1.1/ 3.3 16×16-mm BGA
NAND 1 McASP, 2 McBSP, LCD controller, uHPI, 1.0
NOR 2 SPI, 2 I2C, 3 UART 3 eCAP, Video I/O
TMS320C6747ZKB3 C674x 300 32 K 32 K 256 K 128 K SDRAM 32 Ch5 1 GP, USB 2.0 HS OTG, 10/100 Ethernet MAC, 1.2 1.8/ 4836 1.0-mm 256-pin 14.964
NAND 1 GP/WD USB 1.1, MMC/SD, 3 PWMs, 3.3 17×17-mm BGA
NOR 3 McASP, 2 SPI, LCD controller, uHPI,
2 I2C, 3 UART 3 eCAP, 2 eQEP
TMS320C6747ZKB2 C674x 200 32 K 32 K 256 K 128 K SDRAM 32 Ch5 1 GP, USB 2.0 HS OTG, 10/100 Ethernet MAC, 1.2 1.8/ 3797 1.0-mm 256-pin 12.134
NAND 1 GP/WD USB 1.1, MMC/SD, 3 PWMs, 3.3 17×17-mm BGA
NOR 3 McASP, 2 SPI, LCD controller, uHPI,
2 I2C, 3 UART 3 eCAP, 2 eQEP
TMS320C6746ZCE C674x 300 32 K 32 K 256 K DDR2/mDDR 64 Ch 3 GP, USB 2.0 HS OTG, 10/100 Ethernet MAC, 1.2/ 1.8/ 4422 0.65-mm 361-pin 13.503
SDRAM 1 GP/WD 1 McASP, 2 McBSP, 2 MMC/SD, 2 PWMs, 1.1/ 3.3 13×13-mm BGA
NAND 2 SPI, 2 I2C, 3 eCAP, uHPI, 1.0
NOR 3 UART Video I/O
TMS320C6746ZWT C674x 300 32 K 32 K 256 K DDR2/mDDR 64 Ch 3 GP, USB 2.0 HS OTG, 10/100 Ethernet MAC, 1.2/ 1.8/ 4422 0.8-mm 361-pin 13.503
SDRAM 1 GP/WD 1 McASP, 2 McBSP, 2 MMC/SD, 2 PWMs, 1.1/ 3.3 16×16-mm BGA
NAND 2 SPI, 2 I2C, 3 eCAP, uHPI, 1.0
NOR 3 UART Video I/O
TMS320C6745PTP3 C674x 300 32 K 32 K 256 K SDRAM 32 Ch5 1 GP, USB 2.0 FS, 10/100 Ethernet MAC, 1.2 1.8/ 4836 176-pin LQFP 13.024
NAND 1 GP/WD 2 McASP, 2 SPI, MMC/SD, 3 PWMs, 3.3 25×25 mm
NOR 2 I2C, 3 UART 3 eCAP, 2 eQEP
TMS320C6745PTP2 C674x 200 32 K 32 K 256 K SDRAM 32 Ch5 1 GP, USB 2.0 FS, 10/100 Ethernet MAC, 1.2 1.8/ 3797 176-pin LQFP 10.784
NAND 1 GP/WD 2 McASP, 2 SPI, MMC/SD, 3 PWMs, 3.3 25×25 mm
NOR 2 I2C, 3 UART 3 eCAP, 2 eQEP
TMS320C6743PTP3 C674x 300 32 K 32 K 128 K SDRAM 32 Ch5 1 GP, 2 McASP, 1 SPI, 10/100 Ethernet MAC, 1.2 1.8/ 4836 176-pin LQFP 9.004
NAND 1 GP/WD 2 I2C, 2 UART MMC/SD, 3 PWMs, 3.3 25×25 mm
NOR 3 eCAP, 2 eQEP
TMS320C6743PTP2 C674x 200 32 K 32 K 128 K SDRAM 32 Ch5 1 GP, 2 McASP, 1 SPI, 10/100 Ethernet MAC, 1.2 1.8/ 3797 176-pin LQFP 7.854
NAND 1 GP/WD 2 I2C, 2 UART MMC/SD, 3 PWMs, 3.3 25×25 mm
NOR 3 eCAP, 2 eQEP
TMS320C6743ZKB3 C674x 300 32 K 32 K 128 K SDRAM 32 Ch5 1 GP, 2 McASP, 1 SPI, 10/100 Ethernet MAC, 1.2 1.8/ 4836 1.0-mm 256-pin 9.004
NAND 1 GP/WD 2 I2C, 2 UART MMC/SD, 3 PWMs, 3.3 17×17-mm BGA
NOR 3 eCAP, 2 eQEP
TMS320C6743ZKB2 C674x 200 32 K 32 K 128 K SDRAM 32 Ch5 1 GP, 2 McASP, 1 SPI, 10/100 Ethernet MAC, 1.2 1.8/ 3797 1.0-mm 256-pin 7.854
NAND 1 GP/WD 2 I2C, 2 UART MMC/SD, 3 PWMs, 3.3 17×17-mm BGA
NOR 3 eCAP, 2 eQEP
TMS320C6742ZCE C674x 300 32 K 32 K 64 K DDR2/mDDR 64 Ch 1 GP, 1 McASP, 1 McBSP, 2 PWMs, 1.2 1.8/ 4422 0.65-mm 361-pin 6.703
SDRAM 1 GP/WD 1 SPI, 1 I2C, 1 UART 3 eCAP, uHPI 3.3 13×13-mm BGA
NAND, NOR
TMS320C6742ZWT C674x 300 32 K 32 K 64 K DDR2/mDDR 64 Ch 1 GP, 1 McASP, 1 McBSP, 2 PWMs, 1.2 1.8/ 4422 0.8-mm 361-pin 6.703
SDRAM 1 GP/WD 1 SPI, 1 I2C, 1 UART 3 eCAP, uHPI 3.3 16×16-mm BGA
NAND, NOR
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most New devices are listed in red.
current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
2 70% DSP load (300 MHz), 50% DDR2 EMIF (133 MHz), 50% McBSP (25 MHz), Timer at 100%, CV = 1.2 V, @ 25°C. 9 Format represents program cache/program or data memory/ROM.
DD
3 TMS pricing will come into effect when the device is fully qualified in 1Q10. TMX pricing will be applied until that time. 10 Extended temperature versions available for C6722, C6726, C6727, C6713, C6711D DSPs.
4 TMS pricing will come into effect when the device is fully qualified in 4Q09. TMX pricing will be applied until that time. 11 Also available in 256-pin BGA, 17-mm (GDH) package.
5 Enhanced DMA. 12 McASP2 DIT only.
6 70% DSP (300 MHz), 50% EMIF (133 MHz), 50% McBSP (25 MHz), Timer at 100%, CV = 1.2 V, @ 25°C. 13 The “A” designation is for industrial temperature range.
DD
7 70% DSP (200 MHz), 50% EMIF (133 MHz), 50% McBSP (25 MHz), Timer at 100%, CV = 1.2 V, @ 25°C. 14 Format represents cache memory architecture: [data cache] / [program cache] / [unified cache].
DD
8 RFP and ZDH packages are Pb-Free.

Note: Check www.ti.com for extended temperature and packaging options. Additional information on enhanced plastic and HiRel DSP versions is available on page 103.
Continued on the following page.

Texas Instruments 2Q 2009 Embedded Processing Guide


TMS320C6000™ DSP Platform 21


Silicon
TMS320C67x™ DSP Generation – Floating-Point DSPs (Continued)
Total
Part Frequency L1P L1D L2 RAM External Voltage (V) Power 1-KU
Number CPU (MHz) (Bytes) (Bytes) (Bytes) (Bytes) Memory I/F DMA Timers Serial Ports Misc Core I/O (mW) Packaging Price1
TMS320C6727BZDH3508 C67x+ 350 32 K 256 K 384 K9 SDRAM dMAX 1 GP 3 McASP, uHPI 1.4 3.3 1400 256-pin 27.13
2 SPI, 2 I2C 17×17-mm BGA
TMS320C6727BZDH2758,10 C67x+ 275 32 K 256 K 384 K9 SDRAM dMAX 1 GP 3 McASP, uHPI 1.2 3.3 962 256-pin 17.53
2 SPI, 2 I2C 17×17-mm BGA
TMS320C6727BZDHA2508,11,13 C67x+ 250 32 K 256 K 384 K9 SDRAM dMAX 1 GP 3 McASP, uHPI 1.2 3.3 909 256-pin 19.85
2 SPI, 2 I2C 17×17-mm BGA
TMS320C6727BZDH3008,10,11 C67x+ 300 32 K 256 K 384 K9 SDRAM dMAX 1 GP 3 McASP, uHPI 1.2 3.3 1041 256-pin 19.85
2 SPI, 2 I2C 17×17-mm BGA
TMSDC6726BRFPA2258,10 C67x+ 225 32 K 256 K 384 K9 SDRAM dMAX 1 GP 3 McASP12, 1.2 3.3 778 144 PQFP 14.00
2 SPI, 2 I2C 22×22 mm
TMS320C6726BRFP266 C67x+ 266 32 K 256 K 384 K9 SDRAM dMAX 1 GP 3 McASP12, 1.2 3.3 943 144-pin PQFP 13.97
2 SPI, 2 I2C 22×22 mm
TMS320C6722BRFP2008,10 C67x+ 200 32 K 128 K 384 K9 SDRAM dMAX 1 GP 2 McASP, 1.2 3.3 750 144-pin PQFP 9.31
2 SPI, 2 I2C 22×22 mm
TMS320C6722BRFPA2258,10,13 C67x+ 225 32 K 128 K 384 K9 SDRAM dMAX 1 GP 2 McASP, 1.2 3.3 803 144-pin PQFP 10.80
2 SPI, 2 I2C 22×22 mm
TMS320C6722BRFP2508,10 C67x+ 250 32 K 128 K 384 K9 SDRAM dMAX 1 GP 2 McASP, 1.2 3.3 857 144-pin PQFP 10.80
2 SPI, 2 I2C 22×22 mm
TMS320C6720BRFP2008 C67x+ 200 32 K 64 K 384 K9 SDRAM dMAX 1 GP 2 McASP, 1.2 3.3 750 144-pin PQFP 6.63
2 SPI, 2 I2C 22×22 mm
TMS320C6712DGDP150 C67x 150 4K 4K 64 K14 SDRAM 16 ch5 1 GP 2 McBSP 1.26 3.3 790 272-pin 13.31
27×27-mm BGA
TMS320C6711DGDP200 C67x 200 4K 4K 64 K14 SDRAM 16 ch5 1 GP 2 McBSP HPI/16 1.26 3.3 953 272-pin 14.99
27×27-mm BGA
TMS320C6711DZDP200 C67x 200 4K 4K 64 K14 SDRAM 16 ch5 1 GP 2 McBSP HPI/16 1.26 3.3 953 272-pin 14.99
27×27-mm BGA
TMS320C6711DGDP250 C67x 250 4K 4K 64 K14 SDRAM 16 ch5 1 GP 2 McBSP HPI/16 1.4 3.3 1382 272-pin 16.19
27×27-mm BGA
TMS320C6711DZDP250 C67x 250 4K 4K 64 K14 SDRAM 16 ch5 1 GP 2 McBSP HPI/16 1.4 3.3 1382 272-pin 16.19
27×27-mm BGA
TMS320C6711DGDPA16713 C67x 167 4K 4K 64 K14 SDRAM 16 ch5 1 GP 2 McBSP HPI/16 1.26 3.3 846 272-pin 18.74
27×27-mm BGA
TMS320C6711DZDPA16713 C67x 167 4K 4K 64 K14 SDRAM 16 ch5 1 GP 2 McBSP HPI/16 1.26 3.3 846 272-pin 18.74
27×27-mm BGA
TMS320C6713BPYP200 C67x 200 4K 4K 256 K14 SDRAM 16 ch5 2 GP 2 McBSP, HPI/16 1.2 3.3 926 208-pin 17.59
2 McASP, 2 I2C 28×28-mm TQFP
TMS320C6713BPYPA16713 C67x 167 4K 4K 256 K14 SDRAM 16 ch5 2 GP 2 McBSP, HPI/16 1.2 3.3 823 208-pin 17.59
2 McASP, 2 I2C 28×28-mm TQFP
TMS32C6713BPYPA200 C67x 200 4K 4K 256 K14 SDRAM 16 ch5 2 GP 2 McBSP, HPI/16 1.2 3.3 926 208-pin 21.03
2 McASP, 2 I2C 28×28-mm TQFP
TMS320C6713BZDPA200 C67x 200 4K 4K 256 K14 SDRAM 16 ch5 2 GP 2 McBSP, HPI/16 1.26 3.3 954 208-pin 23.46
2 McASP, 2 I2C 28×28-mm TQFP
TMS32C6713BGDPA200 C67x 200 4K 4K 256 K14 SDRAM 16 ch5 2 GP 2 McBSP, HPI/16 1.26 3.3 954 272-pin 23.46
2 McASP, 2 I2C 27×27-mm BGA
TMS32C6713BGDP225 C67x 225 4K 4K 256 K14 SDRAM 16 ch5 2 GP 2 McBSP, HPI/16 1.2 3.3 1035 272-pin 23.05
2 McASP, 2 I2C 27×27-mm BGA
TMS32C6713BGDP300 C67x 300 4K 4K 256 K14 SDRAM 16 ch5 2 GP 2 McBSP, HPI/16 1.4 3.3 1570 272-pin 30.53
2 McASP, 2 I2C 27×27-mm BGA
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most New devices are listed in red.
current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
2 70% DSP load (300 MHz), 50% DDR2 EMIF (133 MHz), 50% McBSP (25 MHz), Timer at 100%, CV = 1.2 V, @ 25°C. 9 Format represents program cache/program or data memory/ROM.
DD
3 TMS pricing will come into effect when the device is fully qualified in 1Q10. TMX pricing will be applied until that time. 10 Extended temperature versions available for C6722, C6726, C6727, C6713, C6711D DSPs.
4 TMS pricing will come into effect when the device is fully qualified in 4Q09. TMX pricing will be applied until that time. 11 Also available in 256-pin BGA, 17-mm (GDH) package.
5 Enhanced DMA. 12 McASP2 DIT only.
6 70% DSP (300 MHz), 50% EMIF (133 MHz), 50% McBSP (25 MHz), Timer at 100%, CV = 1.2 V, @ 25°C. 13 The “A” designation is for industrial temperature range.
DD
7 70% DSP (200 MHz), 50% EMIF (133 MHz), 50% McBSP (25 MHz), Timer at 100%, CV = 1.2 V, @ 25°C. 14 Format represents cache memory architecture: [data cache] / [program cache] / [unified cache].
DD
8 RFP and ZDH packages are Pb-Free.

Note: Check www.ti.com for extended temperature and packaging options. Additional information on enhanced plastic and HiRel DSP versions is available on page 103.

Texas Instruments 2Q 2009 Embedded Processing Guide


22 TMS320C6000™ DSP Platform
 Tools and Software
TMS320C67x™ DSP Generation Hardware and Software Development Tools
Description Part Number $U.S.1
Hardware Development Tools
TMS320C6713 DSP Starter Kit (DSK) TMDSDSK6713 (U.S. part number) 415
TMDSDSK6713-0E (European part number)
OMAP-L137/TMS320C6747 Floating-Point Starter Kit TMDXOSKL137BET 395
Professional Audio Development Kit (PADK) Evaluation Module TMDSPDK6727 1,495
Professional Audio Development Bundle – includes PADK + CCStudio IDE and XDS560™ USB Emulator TMDXPDB6727 5,995
JTAG Emulators
Spectrum Digital XDS510PP-Plus Emulator TMDSEMUPP (U.S. part number) 1,095
Spectrum Digital XDS510PP-Plus Emulator with European Cords TMDSEMUPP-0E (European part number) 1,095
Spectrum Digital XDS510™ USB Emulator TMDSEMUUSB 1,495
Blackhawk XDS560 JTAG PCI Emulator TMDSEMU560PCI 2,995
Blackhawk XDS560 USB High-Performance JTAG Emulator TMDSEMU560U 2,999
Software Development Tools
Code Composer Studio™ (CCStudio) Platinum v 3.3 Development Tools Bundled with Annual S/W Subscription TMDSCCSALL-1 3,595
Supports C6000™, C5000™, C2000™, DaVinci™ and OMAP™ processor platforms
C6000, C5000, C2000, DaVinci and OMAP processor CCStudio Development Tools Annual Software TMDSSUBALL 600
Subscription for Version 3.10 and higher
Code Composer Studio IDE Free Evaluation Tools SPRC119 (www.ti.com/freetools) Free
Includes C6000, C5000, C2000, DaVinci and OMAP processor CCStudio 120-Day Free Evaluation Tools2
TMS320C6000 DSP Chip Support Library SPRC090 Free
TMS320C67x DSP Library SPRC121 Free
TMS320C67x DSP Fast Run-Time Support Library (Fast RTS) SPRC060 Free
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing
information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
2 Includes full-featured Code Composer Studio Development Tools, code generation tools (C/C++ compiler/assembler/linker) and simulator all limited to 120 days.

Please see the features supported by platform matrix on page 59 for more details.

TMS320C67x™ DSP Literature and Related Technical Documentation


All documentation and associated literature, user’s guides, application notes and software can be found by clicking on the specific device
in the parametric table found on the URL below.

TMS320C67x DSP Generation www.ti.com/c67x

Texas Instruments 2Q 2009 Embedded Processing Guide


TMS320C6000™ DSP Platform 23


Power Management Products
Power Management Products for the C6000™ DSP Platform
Get samples, data sheets, Evaluation Modules (EVMs) and app reports at: power.ti.com

Suggested Power Management Solutions for the TMS320C64x™/TMS320C67x™ DSP Generations


Core and I/O Voltages
Synchronous Non-Synchronous Synchronous Non-Synchronous Non-Isolated Isolated Multiple-
Input Output Controller Controller Integrated FET Integrated FET Power Power Output
Voltage Current LDO (External FETs) (External FET and Diode) Converter Converter Module Module Converter
3.3 V 3A TPS74401 TPS40009 TPS64200 TPS54317 PTH04T260 TPS75003
6A TPS75901 TPS40009 TPS54610 PTH04T230
5V 3A TPS74401 TPS40009 TPS40200 TPS54317 TPS54350 PTH04T260 TPS75003
TPS54386
6A TPS75901 TPS40009 TPS54610 TPS54550 PTH04T230
12 V 3A TPS40190 TPS40200 TPS54350 TPS54350 PTH08T260 TPS5124
TPS54386
6A TPS40190 TPS54550 TPS54550 PTH08T230 TPS5124
24 V 3A TPS40057 TPS40200 TPS5430* PTN78020* PTB78560* TPS54386
6A TPS40057 PTB78560*
48 V 3A TPS40061 TPS40200* PTMA403033*
6A TPS40061 PTB48560*
*Due to Vout/Vin ratio core voltage may have to be stepped down from 3.3-V I/O.

Example C6000 DSP Power Supply Design


U1
TPS54350PWP C3 L1
1 VIN BOOT 16 DVDD
VCC
2 VIN PH 15 123 67
R6 3 14
C9 UVLO PH Q1
4 13 4 R18 +
PWRGD LSG FDR6674A C2
5 RT VBIAS 12
6 11 85
SYNC PGND
7 ENA AGND 10
ENA C4
8 COMP VSENSE 9 C13
PwrPd
R7 R5 R17 17
Open TP7
R1 R9

C6 R3
R5 C8

C7 R2
DVDD
VCC
R5
C10 U2
TPS3808G01
6 1 DSP_RESET
DVDD 5 VDD RST 2
4 SNS/NC GND 3
CT MR
C10

U3
TPS54350PWP C14 L2
1 VIN BOOT 16
VCC CVDD
2 VIN PH 15 123 67
R11 3 14
C15 UVLO PH Q2
4 13 4 R21 +
ENA PWRGD LSG FDR6674A C18
5 RT VBIAS 12
6 11 85
SYNC PGND
7 ENA AGND 10
8 9 C16 C17
COMP VSENSE
PwrPd
R8 R14 R19 17
Open TP8
R13 R20

C10 R15
R16 C11

C12 R12
VCC
ON OFF
S1

For additional power supply designs for TI DSPs, please visit www.ti.com/processorpower.
Note: The TI power devices recommended here are based on standard operating conditions. System designers should use device power estimation tools in conjunction with overall application level
power requirements to ensure an adequate power supply design is used.

Texas Instruments 2Q 2009 Embedded Processing Guide


24 Digital Media Processors with DaVinci™ Technology
 Silicon
Digital Media Processors with DaVinci Technology
Digital Media Processors

Get samples, data sheets, tools and app reports at: www.ti.com/davinci

Targeted Applications Device Infrastructure Video


Production • Cable head-end video systems
• Automotive infotainment • Multi-channel security DVRs
Sampling DM64x+
• Automotive video sensing Next • Professional-grade broadcast-
In Development quality systems
• Automotive vision DM Next • Video-conference MCU/
Future gateways
• Broadcast systems DM6467T
• Cable head-end video equipments DM648 Client Video
1.1 GHz DM6467 • IP-based video phones
(routers) DM64x+
• IP set-top boxes
Segment
Next
• Digital camcorders DM647/8 • Media encoder/decoder
appliances
DM Next
• Digital still cameras DM6467T • Networked PVRs
DM648
• IP video surveillance
• Digital media adapters DM644x 1.1 GHz DM6467 • IP video-conferencing
• Digital photo frames DM64x
DM647/8
DM643x DM3x+ Portable Video
• Digital signage Next • Digital still cameras
DM64x
• Digital TV and server head-ends DM365 • Digital camcorders
DM6441 DM357 • Gaming
DM355
• Digital video recorders • Multimedia jukeboxes
• PDAs
• IP network cameras • Portable medical
• IP-based video-conferencing
Time
endpoints
• IP-based video phones Digital Media Processors Roadmap
Digital video customers benefit from TI’s DaVinci technology portfolio of digital media processors,
• IP set-top boxes
development tools, software and support, enabling them to accelerate development and fuel
• Hard-copy appliances innovation.
• Machine vision
• Media encoder/decoder appliances
• Media gateways
• Medical imaging Digital Media Processors: Tuned for Digital Video End Equipments
• Network projector DaVinci Processor CPU MHz Capture/Display
• Personal video recorders DM335 ARM926 135, 216 Capture/Display
• Portable media players DM355* ARM926** 135, 216, 270 Capture/Display
• Professional-grade video broadcast DM357 ARM926 270 Capture/Display
DM365 ARM926 216, 270, 300 Capture/Display
equipment
DM6467† C64x+/ARM926 594, 729 / 297, 364.5 Capture/Display
• Robotics
DM648* C64x+ 720, 800, 900 Capture/Display
• Security recording systems
DM647* C64x+ 720, 800, 900 Capture/Display
• Streaming video appliances DM6446* C64x+/ARM926 300 / 600 Capture/Display
• Video broadcast transcoding DM6443 C64x+/ARM926 300 / 600 Display
• Video-conferencing, multi-point con- DM6441* C64x+/ARM926 256 / 512 Capture/Display
ference units (MCUs)/gateways DM6437 C64x+ 400, 500, 600, 700 Capture/Display
• Video infrastructure DM6435 C64x+ 400, 500, 600, 700 Capture
• Video jukeboxes DM6433 C64x+ 400, 500, 600, 700 Display
• Digital video security recorders DM6431 C64x+ 300 Capture
(DVRs) *Includes video imaging coprocessor.
**Includes MPEG-4/JPEG coprocessor.
• Video surveillance cameras †Includes DaVinci high-definition video/imaging coprocessor.

• Webpads
• Wireless cameras

DaVinci Technology

Texas Instruments 2Q 2009 Embedded Processing Guide


Digital Media Processors with DaVinci™ Technology 25


Silicon
DaVinci Technology Overview
DaVinci technology is a signal processing- security market and IP set-top multimedia and multi-channel video
based solution tailored for digital video boxes (STBs). security and infrastructure applications,
applications that provides video equipment • TMS320DM644x digital media including digital video recorders (DVRs),
manufacturers with integrated processors, processors – Highly integrated SoCs IP video servers, machine-vision sys-
software, tools and support to simplify the based on an ARM926 processor and tems and high-performance imaging
design process and accelerate innovation. the C64x+™ DSP core. The applications. In addition, TI offers a wide
TMS320DM6446, TMS320DM6443 range of complementary analog parts,
DaVinci Processors Reduce
and TMS320DM6441 processors are easy-to-use development tools, exten-
System Cost
ideal for applications and end equip- sive video and imaging third-party
The portfolio of DaVinci processors con-
ments such as video phones, automo- algorithms and more.
sists of scalable, programmable signal-
tive infotainment and IP STBs. • TMS320DM3x digital media process-
processing system-on-chips (SoCs), accel-
• TMS320DM643x digital media sors – Developers can now deliver
erators and peripherals, optimized to
processors – Based on the C64x+ cost-effective solutions for crystal clear
match the price, performance and feature
DSP core and priced as low as U.S. video up to HD resolutions, targeted at
requirements for a broad spectrum of
$9.95*. The TMS320DM6437, both portable and plugged devices. The
video end equipments. The DaVinci tech-
TMS320DM6435, TMS320DM6433 DM3x generation features an ARM9
nology portfolio includes:
and TMS320DM6431 processors are processor, with speeds up to 300 MHz,
• TMS320DM646x digital media
ideal for cost-sensitive applications and some devices with video coprocessing
processors – DSP-based SoCs specifi-
include special features that make them and bundled codecs to speed develop-
cally tuned for real-time, multi-format,
suitable for automotive market applica- ment efforts, and all with a video-pro-
HD video transcoding at 10× the per-
tions such as lane departure and cessing subsystem adding intelligence
formance and 1/10th the price. The
collision avoidance, as well as to video processing. The DM3x genera-
DM6467 consists of an integrated
machine-vision systems, robotics and tion, including DM335, DM355, DM357
ARM926EJ-S core, TMS320C64x+™
video security. and DM365 processors, is ideal for
DSP core, High-Definition Video/Imaging
• TMS320DM64x™ digital media camera-driven applications as well as
Co-Processors (HD-VICP), video data
processors – The TMS320DM64x digi- video-playback devices such as IP
conversion engine and targeted video
tal media processors are optimized for cameras, video doorbells, video confer-
port interfaces. The DM6467 processor
video and include a range of high- encing, digital signage, portable media
is specifically designed to address the
performance and low-cost options. The players and more.
HD transcoding challenge for commer-
TMS320DM64x generation of digital
cial and consumer markets, such as
media processors are fully programmable
media gateways, multi-point control
and offer industry-leading performance
units, digital media adapters, digital
for the most demanding streaming
video servers and recorders for the *Pricing valid at 10 KU

Texas Instruments 2Q 2009 Embedded Processing Guide


26 Digital Media Processors with DaVinci™ Technology
 Silicon
TMS320DM646x Digital Media
Processor TMS320DM6467
The DM6467 DaVinci processor is a DSP- C64x+™ Video Data
based SoC specifically tuned for real-time, DSP Conversion
High-
multi-format, high-definition (HD) video Core Engine
Definition
729 MHz
transcoding delivering a 10× performance Video/
improvement over previous-generation Imaging
ARM® Co-Processors Video
processors to perform simultaneous, 926EJ-S (HD-VICP) Port
multi-format HD encode, decode and CPU Interfaces
transcoding up to H.264 HP@L4 (1080p 364.5 MHz
30 fps, 1080i 60 fps, 720p 60 fps). Key Switched Central Resource
application areas include media gateways,
Program/Data Standard TMS320DM6467 digital
multi-point control units, digital media Storage Connectivity media processor block
adapters, digital video servers and
Serial System diagram. For more
recorders for the security market and IP information, visit
Interfaces Control
set-top boxes (STBs). www.ti.com/dm6467.

L1/ L2/ External Video Ports Program/


Frequency SRAM SRAM ROM Memory (Config- Serial Connectivity Data Voltage (V) 1-KU
Device CPU (MHz) (Bytes) (Bytes) (Bytes) I/F EDMA urable) I/F I/F Storage Core I/O Packaging Price1
TMS320DM6467ZUT C64x+, 594 64 K 128 K 8 K 1 16-/8-Bit 64 Ch See Note 2 2 McASPs, I2C, 32-Bit PCI (33 MHz), Async SRAM, DDR2 1.2 1.8/ 529 BGA 66.82
ARM9 (DSP) (DSP) (DSP) (ARM) EMIFA, SPI, 3 UARTs USB 2.0, PHY, VLYNQ™, SDRAM, Smart 3.3 19 × 19 mm
DaVinci 297 56 K 1 32-/16-Bit (with IrDA and 10/100/1000 EMAC Media / SSFDC/xD,
HD (ARM) (ARM) DDR2 CIR support) (w/ MII, GMII, & MDIO NAND Flash,
Video support), 32-/16-Bit HPI NOR Flash
TMS320DM6467ZUT7 C64x+, 729 64 K 128 K 8 K 1 16-/8-Bit 64 Ch See Note 2 2 McASPs, I2C, 32-Bit PCI (33 MHz), Async SRAM, DDR2 1.2 1.8/ 529 BGA 83.53
ARM9 (DSP) (DSP) (DSP) (ARM) EMIFA, SPI, 3 UARTs USB 2.0, PHY, VLYNQ, SDRAM, Smart 3.3 19 × 19 mm
DaVinci 364.5 56 K 1 32-/16-Bit (with IrDA and 10/100/1000 EMAC, Media / SSFDC/xD,
HD (ARM) (ARM) DDR2 CIR support) (w/ MII, GMII, & MDIO NAND Flash,
Video support) 32-/16-Bit HPI NOR Flash
TMS320DM6467ZUTA C64x+, 594 64 K 128 K 8 K 1 16-/8-Bit 64 Ch See Note 2 2 McASPs, I2C, 32-Bit PCI (33 MHz), Async SRAM, DDR2 1.2 1.8/ 529 BGA 80.18
ARM9 (DSP) (DSP) (DSP) (ARM) EMIFA, SPI, 3 UARTs USB 2.0, PHY, VLYNQ, SDRAM, Smart 3.3 19 × 19 mm
DaVinci 297 56 K 1 32-/16-Bit (with IrDA and 10/100/1000 EMAC, Media / SSFDC/xD,
HD (ARM) (ARM) DDR2 CIR support) (w/ MII, GMII, & MDIO NAND Flash,
Video support) 32-/16-Bit HPI NOR Flash
TMS320DM6467ZUTAV C64x+, 594 64 K 128 K 8 K 1 16-/8-Bit 64 Ch See Note 2 2 McASPs, I2C, 32-Bit PCI (33 MHz), Async SRAM, DDR2 1.2/ 1.8/ 529 BGA 80.18
ARM9 (DSP) (DSP) (DSP) (ARM) EMIFA, SPI, 3 UARTs USB 2.0, PHY, VLYNQ, SDRAM, Smart 1.05 3.3 19 × 19 mm
DaVinci 297 56 K 1 32-/16-Bit (with IrDA and 10/100/1000 EMAC, Media / SSFDC/xD,
HD (ARM) (ARM) DDR2 CIR support) (w/ MII, GMII, & MDIO NAND Flash,
Video support) 32-/16-Bit HPI NOR Flash
TMS320DM6467ZUTD7 C64x+, 729 64 K 128 K 8 K 1 16-/8-Bit 64 Ch See Note 2 2 McASPs, I2C, 32-Bit PCI (33 MHz), Async SRAM, DDR2 1.2 1.8/ 529 BGA 93.55
ARM9 (DSP) (DSP) (DSP) (ARM) EMIFA, SPI, 3 UARTs USB 2.0, PHY, VLYNQ, SDRAM, Smart 1.05 3.3 19 × 19 mm
DaVinci 364.5 56 K 1 32-/16-Bit (with IrDA and 10/100/1000 EMAC, Media / SSFDC/xD,
HD (ARM) (ARM) DDR2 CIR support) (w/ MII, GMII, & MDIO NAND Flash,
Video support) 32-/16-Bit HPI NOR Flash
TMS320DM6467ZUTV C64x+, 594 64 K 128 K 8 K 1 16-/8-Bit 64 Ch See Note 2 2 McASPs, I2C, 32-Bit PCI (33 MHz), Async SRAM, DDR2 1.2/ 1.8/ 529 BGA 66.82
ARM9 (DSP) (DSP) (DSP) (ARM) EMIFA, SPI, 3 UARTs USB 2.0, PHY, VLYNQ, SDRAM, Smart 1.05 3.3 19 × 19 mm
DaVinci 297 56 K 1 32-/16-Bit (with IrDA and 10/100/1000 EMAC, Media / SSFDC/xD,
HD (ARM) (ARM) DDR2 CIR support) (w/ MII, GMII, & MDIO NAND Flash,
Video support) 32-/16-Bit HPI NOR Flash
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI prior
to placing orders. TI may verify final pricing prior to accepting any order.
2 Video port [config. for dual 8-bit SD (BT.565), single 16-bit HD (BT.1120), or single 8-/10-/12-bit raw capture chs]. 1 video port [configured for dual 8-bit SD (BT.565) or single 16-bit HD (BT.1120) display chs],

2 transport stream interface for MPEG transport stream, 1 VDCE for horizontal/vertical downscaling, chroma conversion, edge padding and anti-alias filtering.

Texas Instruments 2Q 2009 Embedded Processing Guide


Digital Media Processors with DaVinci™ Technology 27


Tools
Development Tools for the TMS320DM646x Processors
Description Part Number $U.S. 1
For Evaluation:
TMS320DM6467 Digital Video Evaluation Module (DVEVM)* TMDSEVM6467 1,995
Code Composer Studio™ (CCStudio) Integrated Development Environment (IDE)* TMDSCCSALL-1 3,595 2
Blackhawk XDS560™ JTAG PCI Emulator (optional) TMDSEMU560PCI 2,995
Blackhawk XDS560 JTAG USB Emulator (optional) TMDSEMU560U 2,999
For Production:
Digital Video Software Production Bundle (DVSPB)3* MontaVista Pro Software and TI DVSDK TMDSDVSPBA9-L 8,995
Digital Video Software Production Bundle (DVSPB) w/ MontaVista Pro Linux, CCStudio IDE and XDS560R Emulator TMDSDVSPBA9-3L 12,995
Code Composer Studio™ IDE* TMDSCCSALL-1 3,595 2
Blackhawk XDS560 JTAG PCI Emulator (optional) TMDSEMU560PCI 2,995
Blackhawk XDS560 JTAG USB Emulator (optional) TMDSEMU560U 2,999
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI
prior to placing orders. TI may verify final pricing prior to accepting any order.
2 Free trial version of Code Composer Studio IDE available as part of the free evaluation tools found at www.ti.com/ccstudiofet
3 Requires prior purchase of DVEVM

* Required for digital media software evaluation and/or production


Please see the features supported by platform matrix on page 59 for more details.

Texas Instruments 2Q 2009 Embedded Processing Guide


28 Digital Media Processors with DaVinci™ Technology
 Silicon and Tools
TMS320DM644x Digital Media Video-
TMS320DM6446 Imaging Video Processing Subsystem
Processors Coprocessor
Front End
TMS320DM644x digital media processors ARM926 DSP Preview
Subsystem Subsystem CCD Controller
Histogram/3A
Video Interface
are highly integrated SoCs based on an
ARM926 C64x+ Resizer
ARM926 processor and the 926EJ-S DSP
Back End
10b DAC
TMS320C64x+™ DSP core. They are ideal 300-MHz 600-MHz On-Screen Video
Display Enc 10b DAC
CPU Core
for applications such as video phones, (OSD) (VENC) 10b DAC
10b DAC
automotive infotainment and IP STBs.
Switched Central Resource (SCR)

Peripherals Connectivity System

EDMA USB EMAC


VLYNQ™ Timer WD PWM
2.0 with
Interface ×2 Timer ×3
PHY MDIO

Serial Interfaces Program/Data Storage TMS320DM6446 digital media


Audio I2C
UART
DDR2 Async EMIF/ ATA/
MMC/
processor block diagram. For
Serial Controller NAND/ Compact
Port SPI
×3 (16b/32b) SmartMedia Flash
SD more information, visit
www.ti.com/dm644x.

L1/ L2/ External Program/


Frequency SRAM SRAM ROM Memory Video Ports Serial Connectivity Data Voltage (V) 1-KU
Device CPU (MHz) (Bytes) (Bytes) (Bytes) I/F EDMA (Configurable) I/F I/F Storage Core I/O Packaging Price1
TMS320DM6446AZWT C64x+, 594 112 K 64 K 16 K 1 16-/8-Bit 64 Ch 1 Input, ASP, I2C, USB 2.0, Async SRAM, 1.2 1.8/ 361 BGA 35.63
ARM9, (DSP) (DSP) (DSP) (ARM) EMIFA, 1 Output SPI, VLYNQ™, DDR2 SDRAM, 3.3 16 × 16 mm
DaVinci 297 40 K 1 32-/16-Bit 3 UARTs 10/100 EMAC NAND Flash,
Video (ARM) (ARM) DDR2 SmartMedia/xD
TMS320DM6446AZWTA C64x+, 513 112 K 64 K 16 K 1 16-/8-Bit 64 Ch 1 Input, ASP, I2C, USB 2.0, Async SRAM, 1.2 1.8/ 361 BGA 35.63
ARM9, (DSP) (DSP) (DSP) (ARM) EMIFA, 1 Output SPI, VLYNQ, DDR2 SDRAM, 3.3 16 × 16 mm
DaVinci 256.5 40 K 1 32-/16-Bit 3 UARTs 10/100 EMAC NAND Flash,
Video (ARM) (ARM) DDR2 SmartMedia/xD
TMS320DM6443AZWT C64x+, 594 112 K 64 K 16 K 1 16-/8-Bit 64 Ch 1 Output ASP, I2C, USB 2.0, Async SRAM, 1.2 1.8/ 361 BGA 30.54
ARM9, (DSP) (DSP) (DSP) (ARM) EMIFA, SPI, VLYNQ, DDR2 SDRAM, 3.3 16 × 16 mm
DaVinci 297 40 K 1 32-/16-Bit 3 UARTs 10/100 EMAC NAND Flash,
Video (ARM) (ARM) DDR2 SmartMedia/xD
TMS320DM6441AZWT C64x+, 513/405 112 K 64 K 16 K 1 16-/8-Bit 64 Ch 1 Input, ASP, I2C, USB 2.0, Async SRAM, 1.2/ 1.8/ 361 BGA 30.35
ARM9, (DSP) (DSP) (DSP) (ARM) EMIFA, 1 Output SPI, VLYNQ, DDR2 SDRAM, 1.05 3.3 16 × 16 mm
DaVinci 256/202.5 40 K 1 32-/16-Bit 3 UARTs 10/100 EMAC NAND Flash,
Video (ARM) (ARM) DDR2 SmartMedia/xD
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI prior
to placing orders. TI may verify final pricing prior to accepting any order.

Development Tools for TMS320DM644x Processors


Description Part Number $U.S. 1
For Evaluation:
TMS320DM644x Digital Video Evaluation Module (DVEVM)* TMDSEVM6446 (U.S. part number) 2,495
Code Composer Studio™ (CCStudio) Integrated Development Environment (IDE)* TMDSCCSALL-1 3,595 2
Blackhawk XDS560™ JTAG PCI Emulator (optional) TMDSEMU560PCI 2,995
Blackhawk XDS560 JTAG USB Emulator (optional) TMDSEMU560U 2,999
For Production:
Digital Video Software Production Bundle (DVSPB)3* w/ MontaVista Pro Software and TI DVSDK TMDSDVSPBA9-L 8,995
Digital Video Software Production Bundle (DVSPB) w/ MontaVista Pro Linux, CCStudio IDE and XDS560R Emulator TMDSDVSPBA9-3L 12,995
Code Composer Studio IDE* TMDSCCSALL-1 3,595 2
Blackhawk XDS560 JTAG PCI Emulator (optional) TMDSEMU560PCI 2,995
Blackhawk XDS560 JTAG USB Emulator (optional) TMDSEMU560U 2,999
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI
prior to placing orders. TI may verify final pricing prior to accepting any order.
2 Free trial version of Code Composer Studio IDE available as part of the free evaluation tools found at www.ti.com/ccstudiofet
3 Requires prior purchase of DVEVM * Required for digital media software evaluation and/or production.

Texas Instruments 2Q 2009 Embedded Processing Guide


Digital Media Processors with DaVinci™ Technology 29


Silicon
TMS320DM643x Digital Media
TMS320DM6437 Video Processing Subsystem
Processors
Front End Preview
DSP Subsystem
TMS320DM643x digital media processors CCD Controller Histogram/3A
L1D 80 KB Video Interface
are based on the TMS320C64x+™ DSP Resizer
C64x+™ DSP L2 Back End
core. They are ideal for cost-sensitive 700-MHz 128 KB
Core Cache On-Screen Video 10b DAC
digital media applications such as Display Enc 10b DAC
L1P 32 KB (OSD) (VENC) 10b DAC
machine-vision systems, robotics, video 10b DAC

security, video telephony and automotive-


Switched Central Resource (SCR)
vision applications such as lane departure
and collision avoidance. Peripherals Connectivity System PLL
Timer
PCI or VLYNQ™ I/F or 64-bit
WD PWM
EDMA HPI JTAG
33 EMAC Timer ×3
×2

Serial Interfaces Program/Data Storage DDR TMS320DM6437 digital media


UART PLL
McBSP ×2 I2C
×2
DDR2
EMIF processor block diagram. For
or Controller
McASP CAN (32b)
(8b) OSC more information, visit
www.ti.com/dm643x.

L1/ L2/ External Program/


Frequency SRAM SRAM ROM Memory Video Ports Serial Connectivity Data Voltage (V) 1-KU
Device CPU (MHz) (Bytes) (Bytes) (Bytes) I/F EDMA (Configurable) I/F I/F Storage Core I/O Price1
Packaging
TMS320DM6431ZWT3 C64x+™, 300 64 K 64 K 64 K 1 8-bit 64 Ch 1 Input McASP, I2C, 10/100 EMAC Async SRAM, 1.2 1.8/ 361 PBGA
11.25
TMS320DM6431ZWTQ32 DaVinci EMIFA, 1 UART, DDR2 SDRAM, 3.3 16 × 16 mm,
12.38
TMS320DM6431ZDU3 Video 1 16-bit 1 McBSP, NAND Flash 376 BGA
11.25
TMS320DM6431ZDUQ32 DDR2 1 HECC 23 × 23 mm
12.38
TMS320DM6433ZWT4 C64x+, 400 112 K 128 K 64 K 1 8-bit 64 Ch 1 Output McASP, 32-bit PCI, Async SRAM, 1.05/ 1.8/ 361 PBGA
15.28
TMS320DM6433ZWT5 DaVinci 500 EMIFA, 1 McBSP, VLYNQ™, DDR2 SDRAM, 1.2 3.3 16 × 16 mm,
16.20
TMS320DM6433ZWTQ52 Video 500 1 16-/32-bit I2C, 10/100 EMAC, NAND Flash 18.03
TMS320DM6433ZWT6 600 DDR2 1 UART 16-bit HPI 18.03
TMS320DM6433ZWTQ62 660 21.70
TMS320DM6433ZWT7 700 21.70
TMS320DM6433ZWTL 600 21.70
TMS320DM6433ZDU4 400 376 BGA 15.28
TMS320DM6433ZDU5 500 23 × 23 mm 16.20
TMS320DM6433ZDUQ52 500 18.03
TMS320DM6433ZDU6 600 18.03
TMS320DM6433ZDUQ62 660 21.70
TMS320DM6433ZDU7 700 21.70
TMS320DM6433ZDUL 600 21.70
TMS320DM6435ZWT4 C64x+, 400 112 K 128 K 64 K 1 8-bit 64 Ch 1 Input McASP, I2C, VLYNQ, Async SRAM, 1.05/ 1.8/ 361 PBGA 15.81
TMS320DM6435ZWTQ42 DaVinci 400 EMIFA, 1 McBSP, 10/100 EMAC, DDR2 SDRAM, 1.2 3.3 16 × 16 mm, 16.76
TMS320DM6435ZWT5 Video 500 1 16-/32-bit 2 UARTs, 16-bit HPI NAND Flash 16.76
TMS320DM6435ZWTQ52 500 DDR2 1 HECC 18.66
TMS320DM6435ZWT6 600 18.66
TMS320DM6435ZWTQ62 660 22.45
TMS320DM6435ZWT7 700 22.45
TMS320DM6435ZWTL 600 22.45
TMS320DM6435ZDU4 400 376 BGA 15.81
TMS320DM6435ZDUQ42 400 23 × 23 mm 16.76
TMS320DM6435ZDU5 500 16.76
TMS320DM6435ZDUQ52 500 18.66
TMS320DM6435ZDU6 600 18.66
TMS320DM6435ZDUQ62 660 22.45
TMS320DM6435ZDU7 700 22.45
TMS320DM6435ZDUL 600 22.45
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain New devices are listed in red.
the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
2 Q designates Q100 automotive reliability.
3 McBSP can be configured as an SPI peripheral.

Texas Instruments 2Q 2009 Embedded Processing Guide


30 Digital Media Processors with DaVinci™ Technology
 Silicon and Tools
TMS320DM643x Digital Media Processors (Continued)

L1/ L2/ External Program/


Frequency SRAM SRAM ROM Memory Video Ports Serial Connectivity Data Voltage (V) 1-KU
Device CPU (MHz) (Bytes) (Bytes) (Bytes) I/F EDMA (Configurable) I/F I/F Storage Core I/O Packaging Price1
TMS320DM6437ZWT4 C64x+™, 400 112 K 128 K 64 K 1 8-bit 64 Ch 1 Input, McASP, I2C, 32-bit PCI, Async SRAM, 1.05/ 1.8/ 361 PBGA 20.35
TMS320DM6437ZWTQ42 DaVinci 400 EMIFA, 1 Output 1 HECC VLYNQ™, DDR2 SDRAM, 1.2 3.3 16  16 mm, 24.00
TMS320DM6437ZWT5 Video 500 1 16-/32-bit 2 McBSPs3, 10/100 EMAC, NAND Flash 21.55
TMS320DM6437ZWTQ52 500 DDR2 2 UARTs 16-bit HPI 26.50
TMS320DM6437ZWT6 600 24.00
TMS320DM6437ZWTQ62 660 31.90
TMS320DM6437ZWT7 700 24.00
TMS320DM6437ZWTL 600 28.85
TMS320DM6437ZDU4 400 376 BGA 20.35
TMS320DM6437ZDUQ42 400 23  23 mm 21.55
TMS320DM6437ZDU5 500 21.55
TMS320DM6437ZDUQ52 500 24.00
TMS320DM6437ZDU6 600 24.00
TMS320DM6437ZDUQ62 660 28.85
TMS320DM6437ZDU7 700 28.85
TMS320DM6437ZDUL 600 28.85
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain New devices are listed in red.
the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
2 Q designates Q100 automotive reliability.
3 McBSP can be configured as an SPI peripheral.

Development Tools for TMS320DM643x Processors


Description Part Number $U.S. 1
For Evaluation and Production:
TMS320DM6437 Digital Video Development Platform (DVDP)* TMDSVDP6437 495
Code Composer Studio™ Integrated Development Environment (IDE)* TMDSCCSALL-1 3,595 2
Blackhawk XDS560™ JTAG PCI Emulator (optional) TMDSEMU560PCI 2,995
Blackhawk XDS560 JTAG USB Emulator (optional) TMDSEMU560U 2,999
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI
prior to placing orders. TI may verify final pricing prior to accepting any order.
2 Free trial version of Code Composer Studio IDE available as part of the free evaluation tools found at www.ti.com/ccstudiofet
* Required for digital media software evaluation and/or production
Please see the features supported by platform matrix on page 59 for more details.

Texas Instruments 2Q 2009 Embedded Processing Guide


Digital Media Processors with DaVinci™ Technology 31


Silicon
TMS320DM64x™ Digital Media
Processors Video Acceleration TMS320DM648
DSP Subsystem EDMA 3.0
Optimized for multi-channel video security L1P 32 KB
and infrastructure applications, including CC Video
C64x+™ DSP
digital video recorders (DVRs), IP video L2 Port
1.1-GHz
512 KB ×5
servers, machine-vision systems and Core TC TC TC TC
high-performance imaging applications. L1P 32 KB
The DM64x digital media processors are
fully programmable and offer industry- Switched Central Resource
leading performance for the most demand-
Peripherals System Program/Data Storage
ing streaming multimedia applications. DDR2
Timer EMIFA
VLYNQ™ 64-Bit 533
16-Bit
Interface ×2 32-Bit TMS320DM648
Connectivity digital media
Serial Interfaces Gigabit Switch
PCI-66
processor block
McASP
SPI I2 C UART or diagram. For more
10 Ser GEMAC GEMAC
UHPI information, visit
www.ti.com/dm64x.

L1/ L2/ External Program/


Frequency SRAM SRAM ROM Memory EDMA Video Ports Serial Connectivity Data Voltage (V) 1-KU
Device CPU (MHz) (Bytes) (Bytes) (Bytes) I/F (Ch) (Configurable) I/F I/F2 Storage Core I/O Packaging Price1
TMS320DM647ZUT7 C64x+™, 720 32 K/32 K 256 K 64 K 1 16-/8-bit 64 5 video ports 1 I2C, PCI/HPI, Async SRAM, 1.2/ 1.8/ 529 nFBGA 37.05
TMS320DM647ZUT9 DaVinci 900 EMIFA3, (each configurable 1 SPI, VLYNQ™, DDR2 SDRAM, 1.2 3.3 19 × 19 mm 46.31
Video 1 32-/16-bit as dual capture, 1 UART, 10/100/1000 NAND Flash,
DDR2 single capture, 1 McASP 3-pt Ethernet NOR Flash
display, TSI Switch Subsys
capture) w/ 1 SGMII Pt
TMS320DM647ZUTD7 720 1.2 44.46
TMS320DM647ZUTD9 900 1.2 53.72
TMS320DM647ZUTA8 800 1.2 46.31
TMS320DM648ZUT7 C64x+, 720 32 K/32 K 512 K 64 K 1 16-/8-bit 64 5 video ports 2 I2C, PCI/HPI, Async SRAM, 1.2/ 1.8/ 529 nFBGA 46.35
TMS320DM648ZUT9 DaVinci 900 EMIFA3, (each configurable 1 SPI, VLYNQ, DDR2 SDRAM, 1.2 3.3 19 × 19 mm 57.94
Video 1 32-/16-bit as dual capture, 1 UART, 10/100/1000 NAND Flash,
DDR2 single capture, 1 McASP 3-pt Ethernet NOR Flash
display, TSI 2 TSIP Switch Subsys
capture) w/ 2 SGMII Pts
TMS320DM648ZUTD7 720 1.2 55.62
TMS320DM648ZUTD9 900 1.2 67.21
TMS320DM648ZUTA8 800 1.2 57.94
TMS320DM640AGDK4 C64x, 400 16 K/16 K 128 K – 1 32-bit 64 1 8-bit 2 McBSP EMAC5 SDRAM, SBSRAM, 1.2 3.3 548 BGA, 23 mm 21.88
Video Async SRAM
TMS320DM640AGNZ4 C64x, 400 16 K/16 K 128 K – 1 32-bit 64 1 8-bit 2 McBSP EMAC5 SDRAM, SBSRAM, 1.2 3.3 548 BGA, 27 mm 21.88
Video Async SRAM
TMS320DM641AGDK5 C64x, 500 16 K/16 K 128 K – 1 32-bit 64 2 8-bit 2 McBSP HPI/16/EMAC5 SDRAM, SBSRAM, 1.2 3.3 548 BGA, 23 mm 25.61
Video Async SRAM
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain New devices are listed in red.
the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
2 HPI is selectable, 32-bit or 16-bit.
3 EMIFA does not support SDRAM.
4 The DM642 can be configured to have up to three serial ports in various video/McASP/McBSP combinations.
5 The DM640 has an Ethernet MAC. The DM641 can be configured to have either a 16-bit HPI or Ethernet MAC. The DM643 can be configured to have either a 32-bit HPI or a 16-bit HPI and Ethernet MAC. The
DM642 can be configured to have either a 32-bit PCI or 32-bit HPI or a 16-bit HPI and Ethernet MAC.
Note: Check www.ti.com for extended temperature and packaging options. Additional information on enhanced plastic and HiRel DSP versions is available on page 103.
Continued on the following page.

Texas Instruments 2Q 2009 Embedded Processing Guide


32 Digital Media Processors with DaVinci™ Technology
 Silicon and Tools
TMS320DM64x Digital Media Processors (Continued)

L1/ L2/ External Program/


Frequency SRAM SRAM ROM Memory EDMA Video Ports Serial Connectivity Data Voltage (V) 1-KU
Device CPU (MHz) (Bytes) (Bytes) (Bytes) I/F (Ch) (Configurable) I/F I/F2 Storage Core I/O Packaging Price1
TMS320DM641AGDK6 C64x™, 600 16 K/16 K 128 K – 1 32-bit 64 2 8-bit 2 McBSP HPI/16/EMAC5 SDRAM, SBSRAM, 1.4 3.3 548 BGA, 23 mm 28.17
Video Async SRAM
TMS320DM641AGNZ6 C64x, 600 16 K/16 K 128 K – 1 32-bit 64 2 8-bit 2 McBSP HPI/16/EMAC5 SDRAM, SBSRAM, 1.4 3.3 548 BGA, 27 mm 28.17
Video Async SRAM
TMS320DM643AGDK5 C64x, 500 16 K/16 K 256 K – 1 64-bit 64 2 20-bit 1 McBSP HPI 32/EMAC5 SDRAM, SBSRAM, 1.2 3.3 548 BGA, 23 mm 28.42
Video Async SRAM
TMS320DM643AGNZ5 C64x, 500 16 K/16 K 256 K – 1 64-bit 64 2 20-bit 1 McBSP HPI 32/EMAC5 SDRAM, SBSRAM, 1.2 3.3 548 BGA, 27 mm 28.42
Video Async SRAM
TMS320DM643AGDK6 C64x, 600 16 K/16 K 256 K – 1 64-bit 64 2 20-bit 1 McBSP HPI 32/EMAC5 SDRAM, SBSRAM, 1.4 3.3 548 BGA, 23 mm 30.98
Video Async SRAM
TMS320DM643AGNZ6 C64x, 600 16 K/16 K 256 K – 1 64-bit 64 2 20-bit 1 McBSP HPI 32/EMAC5 SDRAM, SBSRAM, 1.4 3.3 548 BGA, 27 mm 30.98
Video Async SRAM
TMS320DM642AGDK5 C64x, 500 16 K/16 K 256 K – 1 64-bit 64 3 20-bit 24 McBSP PCI/HPI 32/ SDRAM, SBSRAM, 1.2 3.3 548 BGA, 23 mm 33.80
Video EMAC5 Async SRAM
TMS320DM642AGNZ5 C64x, 500 16 K/16 K 256 K – 1 64-bit 64 3 20-bit 24 McBSP PCI/HPI 32/ SDRAM, SBSRAM, 1.2 3.3 548 BGA, 27 mm 33.80
Video EMAC5 Async SRAM
TMS320DM642AGDK6 C64x, 600 16 K/16 K 256 K – 1 64-bit 64 3 20-bit 24 McBSP PCI/HPI 32/ SDRAM, SBSRAM, 1.4 3.3 548 BGA, 23 mm 37.18
Video EMAC5 Async SRAM
TMS320DM642AGNZ6 C64x, 600 16 K/16 K 256 K – 1 64-bit 64 3 20-bit 24 McBSP PCI/HPI 32/ SDRAM, SBSRAM, 1.4 3.3 548 BGA, 27 mm 37.18
Video EMAC5 Async SRAM
TMS320DM642AGDK7 C64x, 720 16 K/16 K 256 K – 1 64-bit 64 3 20-bit 24 McBSP PCI/HPI 32/ SDRAM, SBSRAM, 1.4 3.3 548 BGA, 23 mm 52.23
Video EMAC5 Async SRAM
TMS320DM642AGNZ7 C64x, 720 16 K/16 K 256 K – 1 64-bit 64 3 20-bit 24 McBSP PCI/HPI 32/ SDRAM, SBSRAM, 1.4 3.3 548 BGA, 27 mm 52.23
Video EMAC5 Async SRAM
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain New devices are listed in red.
the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
2 HPI is selectable, 32-bit or 16-bit.
3 EMIFA does not support SDRAM.
4 The DM642 can be configured to have up to three serial ports in various video/McASP/McBSP combinations.
5 The DM640 has an Ethernet MAC. The DM641 can be configured to have either a 16-bit HPI or Ethernet MAC. The DM643 can be configured to have either a 32-bit HPI or a 16-bit HPI and Ethernet MAC. The
DM642 can be configured to have either a 32-bit PCI or 32-bit HPI or a 16-bit HPI and Ethernet MAC.
Note: Check www.ti.com for extended temperature and packaging options. Additional information on enhanced plastic and HiRel DSP versions is available on page 103.

Development Tools for TMS320DM64x Processors


Description Part Number $U.S. 1
For Evaluation and Production:
TMS320DM642 Digital Media Development Kit (DM642 DMDK) TMDSDMK642 (U.S. part number) 6,495
TMDSDMK642-0E (European part number)
TMS320DM642 Evaluation Module (EVM) TMDSEVM642 (U.S. part number) 1,995
TMDSEVM642-0E (European part number)
TMS320DM648 Digital Video Development Platform (DVDP)* TMDSDVP648 1,295
Code Composer Studio™ Integrated Development Environment (IDE)* TMDSCCSALL-1 3,595 2
Blackhawk XDS560™ JTAG PCI Emulator (optional) TMDSEMU560PCI 2,995
Blackhawk XDS560 JTAG USB Emulator (optional) TMDSEMU560U 2,999
XDS560 USB Trace Emulator3 TMDSEMU560T 9,995
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI
prior to placing orders. TI may verify final pricing prior to accepting any order.
2 Free trial version of Code Composer Studio IDE available as part of the free evaluation tools found at www.ti.com/ccstudiofet
3 The XDS560 Trace is designed for use with trace-enabled digital signal processors. Currently the following processors are fully supported by trace: TMS320DM647 and TMS320DM648 processors.

* Required for digital media software evaluation and/or production


Please see the features supported by platform matrix on page 59 for more details.

Texas Instruments 2Q 2009 Embedded Processing Guide


Digital Media Processors with DaVinci™ Technology 33


Silicon

TMS320DM3x Digital Media TMS320DM365 Video Processing Subsystem


Processors Front End
The TMS320DM3x processors are pow- CCD Controller
Image
Video Interface
Signal
ered by an ARM9 core and a video pro- ARM
®
MJCP HCP Histogram/3A Processing
Subsystem Coprocessor Coprocessor (ISP)
cessing subsystem (VPSS). The DM335 Resizer
processor is a low-cost, low-power ARM9 MPEG-4 Back End
CPU Enhanced 10b HD/SD DAC
processor providing advanced graphical HCP Video
On-Screen
JPEG Enc 10b HD/SD DAC
user interface for display applications that Display
(OSD)
(VENC)
10b HD/SD DAC
do not require video compression and
decompression. Optimized for HD video, DMA Data & Configuration Bus
the DM355 processor integrates an
Peripherals Connectivity System
MPEG-4/JPEG coprocessor to enable USB
EDMA Keyscan/ADC EMAC 2.0 Timer WD PWM
ultra-low power consumption. The new 10/100 HS ×6 Timer ×4
DM365 processor includes Image Signal OTG TMS320DM365 digital
Serial Interfaces Program/Data Storage media processor block
Processing (ISP), production-ready codec I2 C HPI RTC
ASP
mDDR2/ NAND/ MMC/ diagram. For more
bundles and integrated peripherals to ×2 SPI UART DDR2
EMIF
ECC
EMIF
SDIO
×2
Voice
information, visit
×3 ×2 Codec
provide pixel-perfect 1080p HD video. www.ti.com/dm365.

L1/ L2/ External Program/


Frequency SRAM SRAM ROM Memory Video Ports Serial Connectivity Data Voltage (V) 1-KU
Device CPU (MHz) (Bytes) (Bytes) (Bytes) I/F EDMA (Configurable) I/F I/F Storage Core I/O Packaging Price1
TMX320DM335ZCE135 ARM9, 135 32 K – 8 K 1 16-/8-bit 64 Ch 1 Input, 3 SPI, USB 2.0 HS Async SRAM, 1.3 1.8/ 337 BGA 9.05
TMX320DM335ZCE216 DaVinci 216 EMIFA, 1 Output 2 ASP, mDDR/DDR2 3.3 13 × 13 mm 10.25
Video 1 16-bit 3 UARTs, SDRAM,
mDDR/DDR2 I2C OneNAND,
NAND Flash,
SmartMedia/xD
TMX320DM355ZCE216 ARM9, 216 – – 8K 1 16-/8-bit 64 Ch 1 Input, 3 SPI, USB 2.0 HS Async SRAM, 1.3 1.8/ 329 BGA 13.85
TMX320DM355ZCE270 DaVinci 270 EMIFA, 1 Output 2 ASP, mDDR/DDR2 3.3 13  13 mm 18.55
Video 1 16-bit 3 UARTs, SDRAM,
mDDR/DDR2 I2C NAND Flash,
SmartMedia/xD
TMX320DM357ZWT ARM9, 270 – – 8K 1 16-/8-bit 64 Ch 1 Input, 1 SPI, USB 2.0 HS Async SRAM, 1.2 1.8/ 361 nFBGA 18.30
DaVinci EMIFA, 1 Output 1 ASP, DDR2 SDRAM, 3.3 16  16 mm
Video 1 32-/16-bit 3 UARTs, NAND Flash,
DDR2 1 MMD/SD, SmartMedia/xD
I2C
TMX320DM365ZCE270 ARM9, 270 32 K – 16 K 1 16-/8-bit 64 Ch 1 Input, 5 SPI, USB 2.0 HS, Async SRAM, 1.2/ 1.8/ 338 BGA 19.25
TMX320DM365ZCE300 DaVinci 300 EMIFA, 3 Outputs 2 ASP, EMAC mDDR/DDR2 1.35 3.3 13 × 13 mm 25.05
Video 1 16-bit 2 UARTs, SDRAM,
mDDR/DDR2 2 SD MMC, OneNAND,
I2C NAND Flash,
SmartMedia/xD
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and New devices are listed in red.
complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.

Texas Instruments 2Q 2009 Embedded Processing Guide


34 Digital Media Processors with DaVinci™ Technology
 Tools
Development Tools for TMS320DM3x Processors
Description Part Number $U.S. 1
For Evaluation:
TMS320DM355 Digital Video Evaluation Module (DVEVM)* TMDXEVM355 495
TMS320DM357 Digital Video Evaluation Module (DVEVM) TMDXEVM357 895
TMS320DM365 Digital Video Evaluation Module (DVEVM) TMDXEVM365 595
Code Composer Studio™ (CCStudio) Integrated Development Environment (IDE)* TMDSCCSALL-1 3,595 2
Blackhawk XDS560™ JTAG PCI Emulator (optional) TMDSEMU560PCI 2,995
Blackhawk XDS560 JTAG USB Emulator (optional) TMDSEMU560U 2,999
For Production:
Digital Video Software Production Bundle (DVSPB)3* MontaVista Pro Software and TI DVSDK TMDSDVSPBA9-L 8,995
Digital Video Software Production Bundle (DVSPB) w/ MontaVista Pro Linux, CCStudio IDE and XDS560R Emulator TMDSDVSPBA9-3L 12,995
Code Composer Studio™ IDE* TMDSCCSALL-1 3,595 2
Blackhawk XDS560 JTAG PCI Emulator (optional) TMDSEMU560PCI 2,995
Blackhawk XDS560 JTAG USB Emulator (optional) TMDSEMU560U 2,999
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and New tools are listed in red.
complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
2 Free trial version of Code Composer Studio IDE available as part of the free evaluation tools found at www.ti.com/ccstudiofet
3 Requires prior purchase of DVEVM

* Required for digital media software evaluation and/or production


Please see the features supported by platform matrix on page 59 for more details.

Texas Instruments 2Q 2009 Embedded Processing Guide


Digital Media Processors with DaVinci™ Technology 35


Software/Resources
Complete System Tools Get You to Market Faster
Developers can get started today with integrated development environment • TMS320DM648 DVDP
DaVinci technology-based software and (IDE) version 3.3 and Spectrum (part number TMDSDVP648)
development tools tailored to simplify Digital XDS560™ emulator For a complete list of DaVinci develop-
design in video applications, including: • Digital Video Development Platform ment tools, see page 119. Or for more
• Digital Video Evaluation Module (DVDP) – A DVDP enables immediate information on DaVinci technology
(DVEVM) – DVEVMs are comprised of evaluation of DSP-based DaVinci tech- DVEVMs, DVSPBs and DVDPs, please visit
both hardware and software, and enable nology digital media processors. DVDPs www.ti.com/davincitools.
developers to start instantaneous evalu- include DSP/BIOS™ production-ready
eXpressDSP™ Digital Media Software
ation of DaVinci processors. DVEVMs kernel, drivers, Codec Engine, evalua-
Simplifies Development and Reduces
come complete with a demo version of tion codecs, Code Composer Studio IDE
Design Time
MontaVista Linux Pro 4.0, drivers, Codec and an evaluation board. A DVDP
Engine, evaluation codecs and an eval- provides developers with a comprehen- eXpressDSP Digital Media Software
uation board. While customers develop- sive platform that can be used through- To simplify development and reduce cost
ing on an ARM926 processor can go out the entire design process. A DVDP in your digital media application, a com-
into production with a DVEVM, it is not is recommended for TMS320DM6437 plete portfolio of eXpressDSP-compliant
recommended or supported by TI. and TMS320DM648 processors digital media software is now widely avail-
Customers developing on a DSP will customers only. Available DVDPs: able. TI digital media software is:
require a DVSPB (see description • Production tested for easy integration
• TMS320DM6437 DVDP
below) in order to go into production. into audio, video and voice applications
(part number TMDSVDP6437)
Available DVEVMs:
• TMS320DM365 DVEVM Digital Media Software Inventory*
(part number TMDXEVM365) Target Hardware
• TMS320DM357 DVEVM Codecs TMD320DM646x TMD320DM644x TMD320DM643x TMD320DM648 TMD320DM3x OMAP35x
(part number TMDXEVM357) Video & Imaging
• TMS320DM355 DVEVM H.264 Video Decoder     
(part number TMDXEVM355) H.264 Video Encoder     
• TMS320DM6467 DVEVM VICP  
(part number TMDSEVM6467) JPEG Imaging Decoder      
• TMS320DM6446 DVEVM JPEG Imaging Encoder      
MPEG-2 Video Decoder     
(part number TMDSEVM6446)
MPEG-2 Video Encoder 
• Digital Video Software Production
MPEG-4 Video Decoder     
Bundle (DVSPB) – DVSPBs come com- MPEG-4 Video Encoder    
plete with drivers, Codec Engine, evalu- VC1 Video Decoder  
ation codecs and a production license Audio
for MontaVista Linux Pro 4.0, plus one AAC Audio Decoder      
year of MontaVista Zone access with AAC Audio Encoder      
updates. DVSPBs do not contain a AC-3 Audio Decoder    
hardware board. A DVSPB is recom- MP3 Audio Decoder      
mended, coupled with a DVEVM, as a MP3 Audio Encoder  
must-have for TI-supported ARM926 WMA Audio Decoder/      
processor production design. Available Encoder
Voice
DVSPBs:
G.711 Decoder/Encoder     Open Source 
• Linux System DVSPB
G.726 Decoder/Encoder    
(part number TMDSDVSPBA9-L) – G.723.1 Decoder/Encoder    
includes everything listed above G.729 Decoder/Encoder    
• DSP + Linux System DVSPB Other
(part number TMDSDVSPBA9-3L) – Network Developer Kit   Open Source
includes everything listed above, plus (NDK)
Code Composer Studio™ (CCStudio) * Evaluate at no charge for 60 days with a simple click-wrap license.

Texas Instruments 2Q 2009 Embedded Processing Guide


36 Digital Media Processors with DaVinci™ Technology
 Software/Resources
Complete System Tools Get You to Market Faster (Continued)
• Optimized to support DaVinci technology- simplifies this process by offering a fully VICP supports various algorithms to
based digital media processors merged IDE that supports the DaVinci enable additional DSP resource:
• Designed to meet the needs of engi- processor platform as well as other TI • Matrix operations/array operations:
neers by allowing them to focus on DSP platforms. Free 120-day evaluation • Ex: Matrix multiplication/transpose.
product differentiation instead of codec tools that include the CCStudio IDE are Block add/average/variance
development available. • Ex: Array multiplication/addition/
• Available via free 60-day evaluation For more information on the Code Compo- fillmem. Array scalar operations
with multiple licensing options ser Studio IDE, visit www.ti.com/ccstudio. • Ex: Look-up table
• Fully supported by Authorized Software • Digital signal processing operations:
Providers that give customized technical VICP Signal Processing Library • Ex: 1D, 2D FIR filtering
support Improves Performance Capabilities • Ex: Convolution, correlation
Texas Instruments VICP signal processing • Digital image and video processing
Customized Technical Support for library is a collection of highly tuned soft- functions:
eXpressDSP Digital Media Software ware algorithms that execute on the VICP • Ex: Alpha blending, color space
Provided by Authorized Software hardware accelerator. The library allows conversion
Providers (ASPs) the application developer to effectively uti- • Ex: Image rotation, image pack/unpack
To ensure extensive and qualified support, lize the VICP performance without spend- • Ex: Median filtering
TI has established a worldwide network of ing significant time in developing software www.ti.com/vicp.
ASPs that offer support for TI-enabled IP for the accelerator. The availability of
and customized software and engineering well-tested, performance-tuned algorithms VLIB 2.0: Video Analytics & Vision
services. ASPs provide four hours of free with the VICP signal processing library sig- Library
support during the free 60-day evaluation nificantly reduces the application develop- TI’s Video Analytics & Vision Library (VLIB)
stage and up to 40 hours during ment time. The freed-up MIPS on the DSP is a collection of software kernels that are
application development. enable the application developers to commonly used in automotive-vision and
For more information on ASPs, please visit include more differentiation features in the video-security applications. The kernels
www.ti.com/asp. final application. are optimized for both C64x™ and
C64x+™ DSP cores and can accelerate
Code Composer Studio™ Integrated The VICP hardware accelerator is a paral- software development and enable per-
Development Environment lel MAC engine. Due to its flexible archi- formance improvements up to 10× over
The Code Composer Studio (CCStudio) IDE tecture, the accelerator is very effective in standard C code on TI’s DSPs. The VLIB
offers robust, mature core functions with enhancing DSP performance by taking 2.0 now consists of 50+ royalty-free soft-
easy-to-use configuration and graphical over execution of varied computationally ware kernels including background model-
visualization tools for faster system design. intensive tasks. ing and subtraction, object feature extrac-
• The CCStudio IDE integrates everything tion, tracking, recognition and low-level
programmers need for application pixel processing as well as Simulink™
development from start to finish. The blocks for each function and the bit-exact
CCStudio Platinum Edition (version 3.3) version for PC testing capabilities.
www.ti.com/vlib.

A Comprehensive Developer Network Makes DaVinci Technology Easy to Implement


Valued members of TI’s Developer Network For a complete list of developers support-
provide integral components and tools that ing DaVinci technology, please visit
complement DaVinci technology. the TI Developer Network catalog at
Developers offer various levels of video www.ti.com/davincidevelopernetwork.
system integration, optimization and system
expertise on DaVinci products worldwide.

Texas Instruments 2Q 2009 Embedded Processing Guide


Digital Media Processors with DaVinci™ Technology 37


Resources
A Variety of Resources Keep You in the Know
DaVinci Technology Webcasts • Introduction to DaVinci Technology Delve Into Digital Video with Video360
View the archive of TI on-demand DaVinci Online Training – Podcasts
webcasts to learn how to accelerate and www.ti.com/davinciolt. The Video360 podcasts feature industry
simplify your video system design. news, technology updates and practical
• DM6467 DaVinci Processor for HD
Designed for 24/7 access, these webcasts tips regarding the latest innovations in
Transcoding –
typically last one hour. digital video. Check out the archive at
www.ti.com/dm6467olt.
Visit www.ti.com/davinciwebcasts. www.ti.com/davincipodcast.
• DaVinci Technical Seminar –
Additional Web Links and Community
DaVinci Video Casts: Engineering in www.ti.com/davinciseminar.
Resources
Front of the Camera
• TMS320DM6437 One-Day Workshop – • gstreamer.ti.com – Here engineers
Whether you have two minutes or two
www.ti.com/dm6437odw. can find open source files related to
hours, a variety of DaVinci technology
• TMS320DM644x Multi-Day Workshop – DaVinci and join the DaVinci Linux Open
videos are available for on-demand view-
www.ti.com/dm644xmdw. Source mailing list for discussions.
ing. These four-minute videos provide
engineers the technical meat on the • wiki.davincidsp.com – The DaVinci
DaVinci White Papers and Articles
TMS320DM355 and TMS320DM6467 Technology Developers Wiki was estab-
Download the variety of DaVinci white
DaVinci processor products, tools and lished to assist developers taking
papers and articles to see the possibilities
software. Check out the line-up at advantage of DaVinci processors to get
for designing and developing digital video
www.ti.com/dm355videocasts or started, help each other innovate and to
and audio end equipment devices and
www.ti.com/dm6467videocasts. foster the growth of general knowledge
applications using DaVinci technology. Visit
about the hardware and software sur-
www.ti.com/davinciwhitepaper.
DaVinci Technology Training rounding these devices.
Get hands-on experience on DaVinci
DaVinci Technology FAQs • www.ti.com/dspdesignsupport –
technology through online training, one-
Have questions about DaVinci technology? DSP Design Support provides quick
day and multi-day workshops. Check
Browse the DaVinci questions and access to all technical documentation,
www.ti.com/davincitraining for the next
answers to find out everything you need to tools and software details – all from
workshop near you, as well as 24/7 online
know about DaVinci processors, develop- one page.
training and webcasts.
ment tools, applications frameworks, train-
ing and support at
www.ti.com/davincifaq.

DaVinci Technology Literature and Related Technical Documentation


All documentation and associated literature, user’s guides, application notes and software can be found by clicking on the specific device
in the parametric table found on the URLs below.

TMS320DM3x DSP Generation www.ti.com/dm3x TMS320DM644x DSP Generation www.ti.com/dm644x


TMS320DM64x DSP Generation www.ti.com/dm64x TMS320DM646x DSP Generation www.ti.com/dm646x
TMS320DM643x DSP Generation www.ti.com/dm643x

Texas Instruments 2Q 2009 Embedded Processing Guide


38 Digital Media Processors with DaVinci™ Technology
 High-Performance Analog and Logic Products
Compatible Analog Products for DaVinci™-Based Digital Video Applications
TI provides engineers with high- complete digital video applications based high-performance analog and logic prod-
performance signal chain, interface, clock- on DaVinci technology. The following block ucts that help maximize the performance
ing and power management solutions to diagram represents a few of the many and functionality of your application.

VLYNQ
TUSB6020 USB 2.0 High-Speed
USB 2.0 High-Speed Host/Peripheral/OTG

TCA6408A LEDs
I2C
I2C I/O Expander

TCA6507
LED Driver GPIOs

2 × SN74AVC1T45
UART TI RS-232 Xceiver
1-Bit Level Translator Serial Port

PLL1705
PWMO
DM644x Clock Generator
DM643x
Microphone
DaVinci ASP
TLV320AIC33
Digital Stereo Codec
Media TPA2010D1 (Mono) or
TPA2012D2 (Stereo) PC
Processors Speakers
OPA361 Class-D Audio Amplifier
Video Out Video Amplifier
TVP5146M2
Analog Video
Video Decoder
SN74AVC16T245
Image In
16-Bit Level Translator

Smart Media/
EMIF SN74AVCA406 xD Card
SN75LVDT1422
SMC/xD Level Translator Serdes for SMCD
LEGEND
Processor
Compact- Interface
SN74LV4320A
Flash
CompactFlash Xceiver Amplifier
Card
Logic
Power
SN74AVC32T245 ATA Card ADC/DAC
Power Management*
32-Bit Level Translator
Audio
Video/Imaging

* Please reference page 120 for Power Management products.

TI offers a broad range of compatible Analog products to complement the Digital Video Evaluation Module (DVEVM).

Texas Instruments 2Q 2009 Embedded Processing Guide


OMAP™ Applications Processors 39


Silicon
OMAP35x Platform
System-Level Applications Processors

Get samples, data sheets, tools and app reports at: www.ti.com/omap35x

Applications Device
• Portable media players (PMPs) Production
• 600-MHz ARM® Cortex™-A8
Sampling • EMAC
• Portable navigation devices (PNDs) • C64x+™ DSP
• Video accelerator
• USB PHY
In Development OMAP3530 • POWERVR SGX™ graphics
• 3.3-V I/O
• Advanced portable consumer • DDR2

Performance
Future • CAN
electronics • 3-D graphics OMAP
• 600-MHz ARM Cortex-A8 Next
OMAP3525 • C64x+ DSP OMAP35x -A8
• Digital video cameras • Video accelerator Cortex
• Portable data collection • EMAC
• USB PHY
• Point of sale (POS) OMAP3515
OMAP35x • 3.3-V I/O
• DDR2
• 600-MHz ARM Cortex-A8
• Gaming OMAP3503 • POWERVR SGX graphics
• CAN
• 600-MHz ARM
• Web tablets Cortex-A8

• Medical
• Smart white goods Time
• Smart home controllers The OMAP applications processors platform delivers a variety of high-performance applications
• Low-cost PCs processors with fast, portable power and a robust support network with a software portfolio that
includes open source. The complete platform allows for differentiation and rapid development of
• And more….
applications from multimedia-enhanced devices to general-purpose computer applications that
require Linux or Windows® CE class operating systems.
Key Features
• Highest-performance ARM® core
• Up to 600-MHz Cortex™-A8 with
256-KB L2 cache
• Low power
• Clock gating and integrated sleep
C64x+™ DSP and Display Subsystem
modes reduce power without loss ARM® Video Accelerators LCD
of performance Video 10-bit DAC
Cortex™-A8 (3525/3530 only) Cont-
roller Enc 10-bit DAC
• SmartReflex™ technology and CPU
dynamic voltage frequency scaling POWERVR SGX™ Camera I/F
Graphics Accelerator Image
• Scalable roadmap for enhanced (3515/3530 only) Pipe Parallel I/F
graphics and video
• Cortex-A8 core and multimedia L3/L4 Interconnect
rich peripherals: USB 2.0, UART,
MMC/SD, display, camera interface Peripherals Connectivity System
• POWERVR SGX™ graphics USB 2.0 HS USB Timers
OTG Host GP ×12
accelerator with software library Controller Controller ×2 WDT ×2
for 3-D graphics Serial Interfaces Program/Data Storage
• TMS320C64x+™ DSP and hard- McBSP I2C UART SDRC MMC/
HDQ/1-wire
×5 ×3 ×2 SD/
ware video accelerator with McSPI UART
GPMC
SDIO
DaVinci™ software ×4 w/IrDA ×3

OMAP35x application processors are based on the ARM® Cortex™-A8 core with more than four
times the processing power of today’s 300-MHz ARM9 devices, the superscalar 600-MHz Cortex-A8
core is integrated into four new OMAP35x applications processors.

Texas Instruments 2Q 2009 Embedded Processing Guide


40 OMAP™ Applications Processors
 Silicon and Support
OMAP35x Platform
OMAP Processors Turn Everyday (BSP). The Windows® CE BSP is available Developer Network Support
Products into New Ways to Work, for download from TI and open source Additional applications expertise and sup-
Socialize and Entertain software is available for the OMAP platform. port is available from over 400 members
Targeting applications such as portable • OMAP35x Evaluation Module (EVM) of the OMAP Developer Network to help
navigation devices, Internet appliances, available today customers take their designs from con-
portable media players and personal med- • Hardware: cept to production as quickly as possible.
ical equipment, TI’s new scalable OMAP – OMAP35x processor www.ti.com/omap35x.
platform offers four distinct single-chip – 128-MB LPDDR
Online Training
processors with a variety of combinations – 128-MB NAND Flash
Get an inside technical look at the new
of the Cortex™-A8 core, multimedia rich – Touchscreen LCD display with
OMAP35x processor hardware and soft-
peripherals, POWERVR SGX™ graphics landscape/portrait modes
ware. Gain an internal perspective of the
accelerator and DaVinci™ technology for – Expansion connector provides flex-
possibilities suited for this uniquely com-
applications wanting to incorporate video. ible interface capability
pelling generation of OMAP processors.
The OMAP35x applications processors are • Emulator support: TI XDS560™
www.ti.com/omap35x.
pin-for-pin compatible to make it easy for • Software
OEMs to efficiently create a complete • OMAP35x Linux BSP: Webcasts
product portfolio based on the single – Peripheral drivers Learn more about TI’s four new OMAP
platform. – U-boot for boot loading processors that combine high perform-
– Busybox-based root file system ance and low power for automotive info-
Complete Hardware, Software and
• Open source development tools tainment, consumer, medical, industrial
Tools Solution
• Reference schematics and embedded applications.
The modular and extensible OMAP35x
• Connectivity www.ti.com/omap35x.
Evaluation Module (EVM) provides all the
• Daughter card connectivity
components needed to begin developing
• Ethernet, USB 2.0, SDIO, I2C, JTAG,
today on the OMAP35x platform. This EVM
keypad
includes a complementary, integrated
• SD/MMC and DDR
power management and analog solution
• S-Video output via NTSC/PAL and
specifically for OMAP. The modular design
YPbPr/RGB
allows you to easily upgrade to future
www.ti.com/omap35x.
devices. Included with the EVM is the
OMAP35x Linux board support package

Texas Instruments 2Q 2009 Embedded Processing Guide


OMAP™ Applications Processors 41


Silicon and Tools
OMAP35x Applications Processors
Part Frequency L1P L1D L2 RAM ROM External Voltage (V) 1-KU
Number CPU Graphics
(MHz) (Bytes) (Bytes) (Bytes) (Bytes) (Bytes) Memory I/F DMA Timers Serial Ports Misc Core I/O Packaging Price1
OMAP3530 ARM POWERVR
600 16 K 16 K 256 K 64 K 112 K LPDDR, 32 Ch 12 GP, 5 McBSP, 4 McSPI, HW video 1.35 1.82 0.4-mm PoP, 515-pin 41.70
Cortex™-A8, SGX™ NOR, NAND, 2 WDT 3 I2C, 1 HS USB 2.0 accelerator, PBGA (12×12 mm),
C64x+™ 430 32 K 32 K + 64 K + 64 K oneNAND, 64 Ch OTG, 1 HS USB NEON coprocessor, 0.5-mm PoP, 515-pin
48 K 32 K SRAM Host (3 port), LCD, TV out, PBGA (14×14 mm),
SRAM SRAM 1 HDQ/1-Wire, Camera I/F, MMU, 0.65-mm, 423-pin
3 UART 3 MMC/SD/SDIO, PBGA (16×16 mm)
(1 IrDA+CSI) 196 GPIO (shared)
OMAP3525 ARM – 600 32 K 16 K 256 K 64 K 112 K LPDDR, 32 Ch 12 GP, 5 McBSP, 4 McSPI, HW video 1.35 1.82 0.4-mm PoP, 515-pin 37.50
Cortex-A8, NOR, NAND, 2 WDT 3 I2C, 1 HS USB 2.0 accelerator, PBGA (12×12 mm),
C64x+ 430 32 K 32 K + 64 K + 64 K oneNAND, 64 Ch OTG, 1 HS USB NEON coprocessor, 0.5-mm PoP, 515-pin
48 K 32 K SRAM Host (3 port), LCD, TV out, PBGA (14×14 mm),
SRAM SRAM 1 HDQ/1-Wire, Camera I/F, MMU, 0.65-mm, 423-pin
3 UART 3 MMC/SD/SDIO, PBGA (16×16 mm)
(1 IrDA+CSI) 196 GPIO (shared)
OMAP3515 ARM POWERVR 600 16 K 16 K 256 K 64 K 112 K LPDDR, 32 Ch 12 GP, 5 McBSP, 4 McSPI, NEON coprocessor, 1.35 1.82 0.4-mm PoP, 515-pin 33.85
Cortex-A8 SGX NOR, NAND, 2 WDT 3 I2C, 1 HS USB 2.0 LCD, TV out, PBGA (12×12 mm),
oneNAND, OTG, 1 HS USB Camera I/F, MMU, 0.5-mm PoP, 515-pin
SRAM Host (3 port), 3 MMC/SD/SDIO, PBGA (14×14 mm),
1 HDQ/1-Wire, 196 GPIO (shared) 0.65-mm, 423-pin
3 UART PBGA (16×16 mm)
(1 IrDA+CSI)
OMAP3503 ARM – 600 16 K 16 K 256 K 64 K 112 K LPDDR, 32 Ch 12 GP, 5 McBSP, 4 McSPI, NEON coprocessor, 1.35 1.82 0.4-mm PoP, 515-pin 22.55
Cortex-A8 NOR, NAND, 2 WDT 3 I2C, 1 HS USB 2.0 LCD, TV out, PBGA (12×12 mm),
oneNAND, OTG, 1 HS USB Camera I/F, MMU, 0.5-mm PoP, 515-pin
SRAM Host (3 port), 3 MMC/SD/SDIO, PBGA (14×14 mm),
1 HDQ/1-Wire, 196 GPIO (shared) 0.65 mm, 423-pin
3 UART PBGA (16×16 mm)
(1 IrDA+CSI)
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the New devices are listed in red.
most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
2 MMC1 is 3.0 V.

OMAP35x Applications Processor Hardware and Software Development Tools


Description Part # $U.S.1
Evaluation Module (EVM)
OMAP35x EVM TMDSEVM3530 (U.S. part number) 1,499
JTAG Emulators
XDS560™ PCI-Based High-Performance JTAG Emulator TMDSEMU560 3,995
XDS560 Blackhawk USB High-Performance JTAG Emulator TMDSEMU560U (U.S. part number) 2,995
TMDSEMU560U-0E (European part number)
XDS510PP-Plus – Parallel Port Emulator for Windows® TMDSEMUPP (U.S. part number) 1,500
TMDSEMUPP-0E (European part number)
XDS510™ USB-Based Emulator for Windows TMDSEMUUSB 1,995
Software Development Tools
Code Composer Studio Platinum Edition v3.3 Development Tools Bundled with Annual Software Subscription TMDSCCSALL-1 3,595
Supports C6000™, C5000™, C2000™, DaVinci™ and OMAP™ processor platforms
C6000, C5000, OMAP, DaVinci, C2000 DSP Code Composer Studio Development Tools Annual Software TMDSSUBALL 600
Subscription for Version 3.1 and higher
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing
information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
Please see the features supported by platform matrix on page 59 for more details.

Texas Instruments 2Q 2009 Embedded Processing Guide


42 OMAP™ Applications Processors
 Silicon
OMAP-L1x Platform
System-Level Applications Processors

Get samples, data sheets, tools and app reports at: www.omap.com

Applications Device

• Software-Defined Radio (SDR) Sampling


In Development • 300-MHz ARM926 OMAP-L1x
• Power protection systems Future
Future • 300-MHz C674x DSP
• Programmable automation controller (C67x+/C64x+) DSP
926
(PAC)
OMAP-L138 ARM
• Industrial automation

Integration
• Industrial control
• Test and measurement equipment
OMAP-L1x8 devices include:
• Audio (digital mixers, teleconference SDRAM • SATA
• mDDR/DDR2/SDRAM
systems) • Video Port Interface (VPIF)
OMAP-L137 • McASP, McBSP
• Portable data terminals • 300-MHz ARM926 • 10/100 Ethernet MAC
• Barcode scanners • 300-MHz C674x DSP • USB 2.0 HS PHY
(C67x+/C64x+) DSP • USB 1.1 FS PHY
• And more… • USB 2.0 HS PHY • Universal parallel port (UPP)
• Ethernet MAC • LCD controller

Key Features Time


• Integrate feature-rich GUIs into OMAP-L1x Applications Processors
portable designs The OMAP-L1x roadmap offers a variety of scalable architectures including the flexible ARM926EJS
• Networking simplified with advanced processor, extensive connectivity peripherals and a unique TMS320C674x DSP core, which
combines the TMS320C67x+ and TMS320C64x+™ DSP cores, and is capable of performing both
peripherals including 10/100
floating- and fixed-point operations in a single core.
Ethernet MAC, USB 2.0 HS OTG,
SATA
• Operating system flexibility with
Linux, WinCE, VxWorks or ARM9 DSP
DSP/BIOS™ real-time kernel Subsystem Subsystem
• Scalable architecture; pin-for-pin LCD
C674x DSP Controller
compatible with select devices in ARM Universal Parallel
Core
the TMS320C674x DSP generation 926EJ-S (L137/L138 Port (UPP)
CPU (L1x8 only)
• Low power consumption ranging only) Audio
Coprocessor Video Port
from 7 mW* deep-sleep power to (L118 only) Interface (VPIF)
(L1x8 only)
452 mW‡ total power
• Smaller, ergonomic products with Switched Central Resource (SCR) / EDMA
13×13-mm packaging
Peripherals Connectivity System
SATA
USB 2.0 (L1x8 WD
UHPI USB 1.1 EMAC PWM
HS only) Timer

Serial Interfaces Program/Data Storage


McBSP mDDR/ Async MMC/SD
SPI I2C UART DDR2/
(2) McASP (L1x8 (3) EMIF (2)
only) (2) SDRAM 16-bit
16-bit

* Power-use scenario – deep sleep: 1.0-V core, idle


ARM® DSP clock OFF, all peripherals clock OFF, RTC OMAP-L1x Block Diagram
ON, PLL disabled, 25°C Providing developers with increased connectivity at a low cost and low power levels, the new
‡ Power-use scenario – active: 70% max load of the OMAP-L1x product line includes ARM9 and ARM9-plus-DSP architectures. These new devices will
DSP running at 300 MHz at 1.2 V, ARM running at support various high-level operating systems including Linux, WinCE, VxWorks and DSP/BIOS™
300 MHz doing typical activity (peripheral configura- real-time kernel.
tions, other housekeeping activities); mDDR 133 MHz/
16 bit accessed 50% of the time, McBSP, SPI and
GPIOs peripherals are active, 25°C

Texas Instruments 2Q 2009 Embedded Processing Guide


OMAP™ Applications Processors 43


Silicon
OMAP-L1x Platform
Connectivity, Portability and General- Increase the Battery Life of Get Started Quickly
Purpose Processing Made Easy with Applications Through TI To get started quickly, designers can
OMAP-L1x Applications Processors Process Technology purchase OMAP-L1x development kits.
Enabling developers to integrate feature- Combining industry-leading, cutting-edge Get started today with the OMAP-L137/
rich GUIs into their portable designs, the 65-nm process technology with low- TMS320C6747 Floating-Point Starter Kit.
OMAP-L1x generation of applications leakage transistor technology, OMAP-L1x Development kits vary in price and capa-
processors include a variety of scalable processors offer high performance and bility depending on the customer needs.
ARM9 and ARM9-plus-DSP architectures. scalability with power consumption as low Most include built-in emulation and Code
With pricing starting under U.S. $7 in as 7 mW* in deep sleep mode. The high- Composer Studio™ Integrated
1-KU quantities and pin-for-pin compati- performance and low-power silicon archi- Development Environment support. All kits
bility with select devices in the tecture and power-management software contain Linux board-support packages,
TMS320C674x DSP generation, designers technology used for OMAP-L1x processors Codec Engine, DSP/BIOS™ kernel and
are easily able to choose the right give designers not only granularity for fre- device driver and the associated debug-
processor to fit their application needs. quency and voltage, but also the ability to ging environment. For more information
manipulate the individual peripherals to on OMAP-L1x processors, visit
The high-precision TMS320C67x+ and
further optimize power consumption. www.ti.com/omapl1x.
high-performance TMS320C64x+™ DSP
cores combine to create the C674x Chip-level integration includes, but is not OMAP-L1x Videos
processor core which enables designers limited to: Want to hear more about the exciting new
to develop software using both fixed- and • 10/100 EMAC OMAP-L1x applications processors? Hear
floating-point instructions in a single • USB 1.1 Host/2.0 Host/Device/OTG about both the hardware and software
device. Boasting the lowest power of any • MMC/SD controllers that supports these platforms here:
floating-point DSP in the industry, the • Universal parallel port (uPP) for interfac- www.ti.com/omapl1x_comm.
C674x core utilized in the OMAP-L1x ing with FPGAs, high-speed data
eliminates barriers that once prohibited converters
designers from developing in the floating- • Universal Host Port Interface (UHPI) for
point environment. With the best of both interfacing with other processors
worlds (fixed-point and floating-point) in a • LCD controller
single core, designers now have more • Serial ATA Interface (OMAP-L1x8 only)
* Power-use scenario – deep sleep: 1.0-V core, idle ARM®
flexibility than ever. • 448-KB on-chip memory DSP clock OFF, all peripherals clock OFF, RTC ON, PLL
• Video port interface (OMAP-L1x8 only) disabled, 25°C.

Texas Instruments 2Q 2009 Embedded Processing Guide


44 OMAP™ Applications Processors
 Silicon and Tools
OMAP-L1x Applications Processors
Part Frequency L1P L1D L2 RAM External Voltage (V) 1-KU
Number CPU (MHz) (Bytes) (Bytes) (Bytes) (Bytes) Memory I/F DMA Timers Serial Ports Misc Core I/O Packaging Price1
OMAP-L137 ARM926EJS, 300 16 K 16 K 128 K SDRAM, 32 Ch 1 GP, USB 2.0 HS OTG, 10/100 Ethernet MAC, 1.2 1.8/3.3 1.0-mm 256-pin 16.35
C674x 300 32 K 32 K 256 K Shared NAND, 1 GP/WD USB 1.1, MMC/SD, 3 PWMs, BGA (17×17 mm)
NOR 3 McASP, 2 SPI, LCD controller,
2 I2C, 3 UART 3 eCAP, 2 eQEP, UHPI
OMAP-L138 ARM926EJS, 300 16 K 16 K 128 K DDR2, 64 Ch 3 GP, USB 2.0 HS OTG, 10/100 Ethernet MAC, 1.0–1.2 1.8/3.3 0.8-mm 361-pin 18.60
C674x 300 32 K 32 K 256 K Shared mDDR, 1 GP/WD USB 1.1, 2 MMC/SD, 2 PWMs, BGA (16×16 mm),
NAND, 1 McASP, LCD controller, 0.65-mm 361-pin
NOR, 2 McBSP, 2 I2C, video interface, BGA (13×13 mm
SDRAM 3 UART, 2 SPI UHPI, SATA, 3 eCAP
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the New devices are listed in red.
most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.

OMAP-L1x Applications Processor Hardware and Software Development Tools


Description Part # $U.S.1
Hardware Development Tool
OMAP-L137/TMS320C6747 Floating-Point Starter Kit TMDXOSKL137BET 395
JTAG Emulators
XDS510PP-Plus – Parallel Port Emulator for Windows® TMDSEMUPP (U.S. part number) 1,500
TMDSEMUPP-0E (European part number)
XDS510™ USB-Based Emulator for Windows TMDSEMUUSB 1,995
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the New tools are shown in red.
most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
Please see the features supported by platform matrix on page 59 for more details.

Texas Instruments 2Q 2009 Embedded Processing Guide


OMAP™ Applications Processors 45


Power Mangement Products
Power Management Products for the OMAP35x, OMAP-L137 and OMAP-L138 Applications Processors
Get samples, data sheets, Evaluation Modules (EVMs) and app reports at: power.ti.com

Power Management Products for OMAP35x Applications Processors


Part Integration SmartReflex™ USB2.0 Audio Battery Touchscreen Package
Number Level Class DC/DC LDO I2C HS OTG Codecs Charger Controller (mm)
TPS65950 Full integration Class 3 3 10    (2× stereo)  – 7×7 BGA
TPS65930 Full integration Class 3 3 4   (1×) – – 10×10 BGA
TPS65920 Full integration Class 3 3 4   – – – 10×10 BGA
TPS65073 Full integration Class 3 3 2    6×6 QFN
TPS65023 Semi integration Class 2 3 3  – – – – 5×5 QFN
TPS62350 Discrete Class 3 1 –  – – – – 3×3 QFN
2×1.5 CSP

Power Management Products for the OMAP-L137 Applications Processor


Voltages
Key Careabouts 1.2-V (PLL) 1.2-V (RTC) 1.2-V (Core) 3.3-V (I/O) 1.8-V (I/O)
Integration TPS79901 TPS65023 TPS65023 TPS65023 TPS65023
Flexibility TPS71712 TPS71712 TPS62353 TPS62200 TPS71718
Simplest TPS74801 TPS74801 TPS74801 TPS73633 TPS71718
Low part count TPS62410 TPS62410 TPS62410 TPS62410 TPS71718

Power Management Products for the OMAP-L138 Applications Processor

TPS65070
The TPS65070 is a dedicated PMU solution for OMAP-L138/
TMS320C6748 applications. The 1-cell Li-Ion battery charger with
1-cell Li-lon

power path management can be supplied either by a USB port or a


wall adapter. Three highly efficient 2.25-MHz buck converters pro-
vide the voltages (core, memory, I/O) for the processor. The voltages
can be changed by I2C.
Further, two 200-mA LDOs, one boost converter for LED backlight-
ing, a touchscreen controller and 10-bit A/D converter are integrated
as well. The TPS65070 comes in a small 6×6-mm QFN package.

For additional power supply designs for TI processors, please visit www.ti.com/processorpower.
Note: The TI power devices recommended here are based on standard operating conditions. System designers should use device power estimation tools in conjunction with overall application level
power requirements to ensure an adequate power supply design is used.

Texas Instruments 2Q 2009 Embedded Processing Guide


46 Software and Development Tools
 eXpressDSP™ Software and Development Tools
eXpressDSP Software and Development Tools
Complete, Real-Time Software and Development Tools for TI Processors

TI’s real-time eXpressDSP Software and Development Tools portfolio includes tightly knit ingredients that will empower developers to tap
the full potential of TI’s TMS320™ DSPs, DaVinci™ and OMAP™ processors. Each element is designed to simplify programming and
move development from a custom-crafted approach to a new paradigm of interoperable software from multiple vendors supported by a
worldwide infrastructure. There has been an explosive growth in real-time applications demanding the real-time processing power of TI
digital signal processing. eXpressDSP tools enable innovators and inventors to speed new products to market and turn ideas into reality.
For more information, visit www.ti.com/expressdsp.

Standardization and Software Tools and Standards to Simplify TMS320C2000™ digital signal con-
Reuse Move Development to a Application Development, trollers, OMAP application processors
New Level Reduce System Cost, Enhance and digital media processors with
• Standards enabled for modular, Product Robustness and DaVinci technology
reusable multi-function applications Innovation and Accelerate • Advanced data visualization and real-
• Developers of all experience levels Time to Market time analysis
• Integrate your own software • Powerful, integrated development envi- • Powerful code-generation tools
• Catalog of interoperable software ronment (IDE) (Code Composer What Are eXpressDSP Software
• Focus on adding value/differentiation Studio™ IDE) and Development Tools?
• Designed to cut development time by • Scalable real-time kernel (DSP/BIOS™ TI’s real-time eXpressDSP Software and
up to 50 percent and increase the kernel) Development Tools provide a complete
modularity • eXpressDSP-compliant algorithms and open digital signal processing soft-
(written to the eXpressDSP Algorithm ware environment to simplify and stream-
Interface Standard) line the product development process. It
Learn about TI’s offering of develop- • Reusable modular software and support provides access to a large number of
ment boards and kits to get your from TI’s DSP Developer Network reusable software components, host tool-
design started today (See page 55). • Available on TMS320C6000™ and ing and target-side content to reduce
TMS320C5000™ DSPs, development time.

SoC (ARM® + DSP)


eXpressDSP™ eXpressDSP Software
Development Tools Multimedia Framework
Products
®
Code Composer ARM • Framework Components
Code Composer Studio
and Developer Network
Studio™ IDE • Codec Engine
IDEs Customer Application • xDAIS and xDM
Editor Framework
See pages 47 and 48 DSP See page 52
Third-
Compiler Profiler Party Codec Engine Codec Engine Server
IDEs
Debugger eXpressDSP eXpressDSP
Digital Media Software Digital Media Software
Simulator eXpressDSP
Operating Systems DSP/BIOS™ Kernel; NDK* Digital Media Software
eXpressDSP • Video Codecs
Data Visualization Tools eXpressDSP Data Hardware Drivers DSP/BIOS Device Drivers
Visualization Link • Imaging Codecs
• SoC Analyzer • Speech / Voice Codecs
• Audio Codecs
See page 49
• Other Algorithms
Host Computer Emulator Target Board See pages 53 and 54

Emulators / Analyzers Operating Systems Device Drivers Development Kits and Boards
• XDS560™ Emulator • DSP/BIOS Kernel • Starter Kits
• XDS560 Trace – DSP/BIOS Link • Development Boards / EVMs
See page 49 • Network Developer’s • Development Platforms
Kit (NDK) • Daughter Cards
• MontaVista Linux
See pages 55 – 57
• VirtualLogix Linux
• Windows® CE
See pages 50 and 51 *NDK only applicable to DSP-centric design

eXpressDSP

Texas Instruments 2Q 2009 Embedded Processing Guide


Software and Development Tools 47


eXpressDSP™ Development Tools
Code Composer Studio™ IDE, TI Developer Network IDEs and Development Tools
Integrated Development Environment and Debuggers

Get more information about Code Composer Studio IDE and TI Developer Network development tools at: www.ti.com/ccstudio

Integrated Development Environment The memory window allows you to inspect


Code Composer Studio
The Code Composer Studio (CCStudio) IDE each level of memory so that you can
Development Tools Simplify
provides a single-user interface taking you debug complex cache coherency issues.
DSP Development
through each step of the application
Code Composer Studio (CCStudio) soft- Code Composer Studio IDE supports the
ware is a fully integrated development development flow. Familiar tools and inter-
development of complex systems with
environment (IDE) supporting Texas faces allow users to get started faster
multiple boards or multiple processors on
Instruments industry-leading DaVinci™, than ever before and add functionality to
a single target board. Global breakpoints
TMS320C6000™, TMS320C5000™, their application thanks to sophisticated
are also available for multiprocessor sys-
TMS320C2000™ and OMAP™ productivity tools. The integrated
tems. Code Composer Studio’s Parallel
processor platforms. CodeWright Editor greatly improves the
Debug Manager (PDM) provides synchro-
IDE code creation experience. Easily manage
nized control over multiple processors con-
• Integrated IDE and debugger large multi-user, multi-site projects with
figured in single- or multiple-scan chains.
• CodeWright Editor the built-in project manager.
It can be used to launch individual parent
• Project manager
Debugger windows to control each processor. The
Debugger Code Composer Studio IDE’s integrated PDM can be used to broadcast commands
• Co-debug of ARM®/DSP processors debugger has DSP-specific capabilities to different groups of CPUs in the JTAG
• Data visualization and advanced breakpoints to simplify scan path. A global breakpoint command
• Cache visibility development. Conditional or hardware on one processor can halt other proces-
• Robust host-to-target connection breakpoints are based on full C expres- sors when this breakpoint is encountered.
Real-Time Debug sions, local variables or CPU register sym-
The PDM lets developers open up sepa-
• Non-intrusive memory access bols. A General Extension Language (GEL)
rate debug windows for any CPU on any
• Handle interrupts while halted script file can be executed when a partic-
board in the system.
Advanced Event Triggering ular breakpoint hits.
• Watchpoints Code Composer Studio IDE also supports
Developers can debug code quickly by
• Event sequences popular external scripting languages such
selectively stepping into, over or out of C
• Non-intrusive counters as Perl and VBA to help developers auto-
function or assembly subroutines.
Code-Generation Tools mate application testing and validation.
• Industry-leading performance DSP/BIOS Kernel Configuration Window
CodeWright Editor
• Program-level optimization
Simulation Memory
Project Window
• Cycle accurate simulation Manager
• Rewind back-stepping
Toolbars
• Code coverage
Profiling
• Profile functions and loops
• Measure cache activity Disassembly
• Profile pipeline stalls Window
Host Operating System
Data
Support Visualization
• Windows®
• Linux (compilers only) Watch
Window

Output Window Data Visualization GEL

Code Composer Studio Development Tools include the features you need to edit, build, debug and
visualize your applications.

Texas Instruments 2Q 2009 Embedded Processing Guide


48 Software and Development Tools
 eXpressDSP™ Development Tools
Real-Time Debug Several simulator variants are available code performance and ensure the efficient
TI devices include advanced emulation allowing users to trade off cycle accuracy, use of the DSP target’s resources during
features which provide simple, inexpensive speed and peripheral simulation, with debug and development sessions. The
and speed-independent access to the CPU some simulators being ideally suited to profiler allows developers to easily profile
for debugging. Access to registers and algorithm benchmarking and others for all C/C++ functions in their application for
memory can be non-intrusive and real- more detailed system simulation. instruction cycles or other events such as
time mode provides for the debugging of cache misses/hits, pipeline stalls and
The Rewind feature allows you to step and
code that interacts with interrupts that branches. Profile ranges can be used to
run backward to trace the source of a
must not be disabled. Real-time mode concentrate efforts on high-usage areas of
problem. There is no need to restart the
allows you to suspend background code at code during optimization, helping develop-
execution of the program. Full reverse
break events while continuing to execute ers produce finely-tuned code. Profiling is
mode visibility is available through watch,
time-critical interrupt service routines. available for ranges of assembly, C++ or
register and memory windows.
C code in any combination. To increase
Advanced Event Triggering Watchpoints allow the user to stop his productivity, all profiling facilities are avail-
Advanced Event Triggering (AET) allows a code on data read or write to a particular able throughout the development cycle.
user to halt the CPU or trigger other memory location. Track memory corruption
events based on complex events or Developer Network
problems using this feature. Development Tools
sequences. These events include invalid
data memory accesses and invalid pro- The Interrupt Latency Detector enables TI is committed to enabling third-party
gram accesses. It can also non-intrusively you to measure the worst-case interrupt development tools partners to support our
measure performance and count system latency of the code, including program- ARM®-based SoC devices.
events (for example, cache events). ming interrupt constraints such as dis-
GreenHills MULTI®
abling GIE/NMIE and architectural behavior
Code-Generation Tools MULTI is a complete integrated develop-
such as non-serviceability of interrupts in
In the past, developing high-performance ment environment for embedded applica-
branch delay slots.
DSP code has required optimizing assem- tions using C, C++ and Embedded C++.
bly code by hand and an intimate knowl- Pipeline analysis of a TMS320C55x™ The MULTI debugger offers an excellent
edge of the particular device architecture. DSP shows both the stalls and their caus- environment for debugging of SoC devices
The TI Code Composer Studio IDE compiler es through a detailed pipeline visibility. containing both ARM and the
tools address this need by shifting the TMS320C64x+™ DSP core. MULTI also
Code Coverage shows which source lines
burden of optimization from hand-coded offers OS-aware debugging for several
(both C and assembly) are executed.
assembly to the C compiler. With these operating systems including INTEGRITY,
tools it is possible to exploit the high per- The CacheTune tool tracks program, data velOSity, u-velOSity, MontaVista Linux and
formance of TI processors without ever cache activities visualized over time – most DSP/BIOS™ kernel. For more information
writing hand-coded assembly. effective for improving cache utilization. on GreenHills MULTI, please contact
sales@ghs.com.
TI compilers can perform program-level op- Real-Time Data Exchange
timizations that evaluate code performance Real Time Data Exchange (RTDX™) and Lauterbach Trace32
at the application level. With a program- High-Speed RTDX (HS-RTDX) allow a Trace32 is an ARM debugger that also
level view, the compiler is able to generate developer to exchange data between the supports debugging of TI DSPs and thus
the small, fast code an assembly program target and the host PC without stopping can provide co-debug support for the ARM
developer with a full system view would the target application, thus providing a and DSP on TI SoC devices. Lauterbach
generate. This application-level view is bi-directional “data pipe” between the also provides OS aware debugging for
leveraged by the compiler to make trade application and the host. This allows most embedded operating systems includ-
offs that significantly increase performance. developers to access data from the appli- ing Linux. For more information please
cation for real-time visibility, or to simulate visit www.lauterbach.com.
Simulation data input to the device, shortening devel-
Simulators provide a way for users to begin opment time by giving developers a realis- Code Composer Studio full-function
development prior to having access to a tic view of the way their systems operate. evaluation tools are available for a free
development board. Simulators also have 120-day evaluation. To order your
the benefit of providing enhanced visibility Profiling
CD-ROM, visit
into application performance and behavior. Code Composer Studio IDE’s interactive
www.ti.com/freetools.
profiler makes it easy to quickly measure

Texas Instruments 2Q 2009 Embedded Processing Guide


Software and Development Tools 49


eXpressDSP™ Development Tools
JTAG Debug and eXpressDSP Data Visualization
Emulators and Analysis Tools

Get more information about JTAG debug and eXpressDSP data visualization tools at: www.ti.com/emulatoranalyzer

Real-time execution visibility is the key to allows you to suspend background code XDS560 Trace
optimizing and debugging systems. at break events while continuing to exe- The XDS560 Trace module helps cus-
Emulators provide a connection to a target cute time-critical interrupt service routines. tomers find previously “invisible” complex
for execution control and system visibility. • Advanced Event Triggering (AET) allows real-time bugs. Trace can detect the really
eXpressDSP Data Visualization Tools- a user to halt the CPU or trigger other hard to find bugs – race conditions
based solutions graphically simplify com- events based on complex events or between events, intermittent real-time
plex, interrelated data sets. sequences such as invalid data or pro- glitches, crashes from stack overflows,
gram memory accesses and invalid runaway code and false interrupts without
XDS560™ Emulator
program accesses. It can non-intrusively stopping the processor. Trace is a com-
The XDS560 Emulation platform provides
measure performance and count sys- pletely non-intrusive debug method that
a robust and reliable IEEE 1149.1 JTAG
tem events (for example, cache events). relies on a debug unit inside the DSP so it
connection to the target device. It provides
• Real-Time Data Exchange (RTDX™) does not interfere or change the applica-
high-speed download speeds, Advanced
and High-Speed RTDX (HS-RTDX) allow tion’s real-time behavior.
Event Triggering (AET), and High-Speed Real-
Time Data Exchange (HS-RTDX) capability. a developer to exchange data between Trace can fine tune code performance and
the target and the host PC, without cache optimization of complex switch-
Non-Intrusive Debugging stopping the target application, thus intensive multi-channel applications. It
TI devices include advanced emulation providing a bi-directional “data pipe” enables real-time code and event profiling
features which provide simple, inexpensive between the application and the host. as well as fast and accurate code analysis
and speed-independent access to the CPU This allows developers to access data with profiling, cache view and code cover-
for debugging. Access to registers and from the application for real-time visibil- age for some of the most popular
memory can be non-intrusive and real- ity, or to simulate data input to the TMS320™ devices today used in applica-
time mode provides for the debugging of device, shortening development time by tions such as video teleconferencing and
code that interacts with interrupts that giving developers a realistic view of the medical imaging.
must not be disabled. Real-time mode way their systems operate. SoC Analyzer Data
Visualization Tool
eXpressDSP Data Visualization SoC Analy-
zer is TI’s high-level system tuning and
visibility tool which enables developers to
visualize SoC streaming data rather than
be limited to post-mortem static data anal-
ysis. By being able to capture and graphi-
cally display system interactions and load
distribution, isolate bottlenecks, identify
unexpected behaviors and benchmark ap-
plication performance, developers are able
to maximize efficiency and overall per-
formance while eliminating tedious manu-
al data collection and comparison. This
tool is non-invasive and aids in analyzing
and identifying problems by capturing and
graphically displaying: system interaction,
load distribution, bottlenecks in data
throughput and other types of behavior.

Read more about real-time debug at


Blackhawk XDS560 Trace delivers non-intrusive, real-time data capture to expose the toughest www.ti.com/emulatoranalyzer.
real-time bugs.

Texas Instruments 2Q 2009 Embedded Processing Guide


50 Software and Development Tools
 Operating Systems
Operating System Solutions
DSP/BIOS™ Real-Time DSP Kernel and ARM® Operating Systems

Get more information about DSP/BIOS real-time kernel and ARM operating systems at: www.ti.com/expressdspos

DSP/BIOS Software Kernel Foundation


Complete Operating System
Solutions for Both ARM and DSP/BIOS kernel is a robust multithreading IDE. Sourcery G++ for ARM supports TI
DSP-Based Devices kernel, proven in thousands of embedded processors with an ARM core, including
• DSP/BIOS real-time kernel applications, and is augmented by the NDK the OMAP processor family, runs on
• Available royalty-free with Code embedded networking stack and DSP/BIOS GNU/Linux® and Windows® and targets
Composer Studio™ (CCStudio) Link ARM–DSP communications and con- GNU/Linux, µClinux™ or EABI (bare board)
integrated development environ- trol software. DSP/BIOS kernel is highly systems. Sourcery G++ Professional
ment (IDE) scalable to minimize footprint requirements. Edition features unlimited support from
• Supports DaVinci™, OMAP™, CodeSourcery’s expert engineers, and
DSP/BIOS kernel provides preemptive
TMS320C6000™, Personal Edition is affordably priced for
multithreading, cache and interrupt man-
TMS320C5000™ and individual and small development teams.
agement and a selection of interprocessor
TMS320C2000™ processors TI sponsors the OMAP and DaVinci Linux
communication services, including mail-
• Provides deterministic, preemptive communities that provide the option to
boxes, semaphores and variable-length
multithreading participate in the latest kernel version
message passing that works transparently
• DSP/BIOS Link provides development. An active community sup-
across single- and multi-core configurations.
ARM–DSP program loading and port mailing list is available through the
communications services To aid debugging of complex applications, OMAP Linux Community at
• Network Developers Kit (NDK) DSP/BIOS kernel includes real-time log- linux.omap.com.
• Integrated with DSP/BIOS kernel ging services that are integrated with the
CCStudio IDE graphical real-time analysis MontaVista Linux
• Provides standard TCP/IP For developers who prefer a Linux OS
networking services displays. DSP programmers can view the
sequence of thread execution, CPU load, backed by a commercial support opera-
• Includes higher-level applications
or use a low-overhead printf for custom tion, TI also partners with MontaVista.
such as HTTP, TELNET, DNS MontaVista Professional Edition Linux pro-
and DCHP instrumentation.
vides tools for build, debug, profiling and
• MontaVista Linux DSP/BIOS kernel is frequently deployed as analysis on the host coupled with a full set
• Stable, robust, proven the real-time DSP kernel on multi-core of hardened drivers and a rich complement
embedded Linux devices like TI’s DaVinci and OMAP SoC of target-based software components.
• Fully supported and maintained processors. In such applications, the MontaVista licenses may be purchased as
by MontaVista DSP/BIOS Link inter-processor communi- part of the TI Digital Video Software
• Available for DaVinci and cation provides powerful interconnection Production Bundle (DVSPB), which entitles
OMAP processors service to ARM-side operating systems the license holder to updates on the
• Microsoft Windows® CE like Linux and Windows CE. DSP/BIOS MontaVista Zone customer portal. For
• Available on select ARM-based Link is typically used with TI’s Codec more information on the DVSPB, visit
DaVinci and OMAP processors Engine, as shown in the DaVinci software www.ti.com/dvspb.
through TI’s Authorized Software pages of this guide (see page 52).
Providers (ASPs) Windows Embedded CE
• VirtualLogix Linux Open Source Community Linux Windows Embedded CE is a componen-
• Full-featured embedded Linux TI provides the latest cutting-edge Linux tized, real-time operating system for a
complete with drivers release for its devices. Support for TI wide range of small footprint devices.
• Co-exists with DSP/BIOS kernel devices is provided directly in the main- Developers use Windows Embedded CE
via real-time VLX virtualization stream Linux development tree (GIT tree)
technology and may be downloaded at no charge. DSP/BIOS is available royalty-free with
• Available on select Development tool support is provided the Code Composer Studio full-
TMS320C64x+™ DSP core- through Code Sourcery’s Sourcery function evaluation tools. To order your
based processors G++™. Sourcery G++ includes the GNU CD-ROM, visit www.ti.com/freetools.
C and C++ compilers and the Eclipse™

Texas Instruments 2Q 2009 Embedded Processing Guide


Software and Development Tools 51


Operating Systems
for a variety of smart, connected and OMAP35x support is available on improving existing or creating new
service-oriented devices, ranging from Windows Embedded CE 6.0, along with embedded devices. Along with a portfolio
power-conscious GPS handhelds to real- Platform Builder, a Visual Studio 2005 of hundreds of carefully tested operating
time, mission-critical industrial controllers. plug-in, that provides an integrated devel- system components, the innovative tools
TI offers a Windows® CE DVSDK today for opment environment (IDE) that enables and features help Windows Embedded CE
OMAP35x and TMS320DM644x you to build both application and Windows 6.0 enhance performance, security fea-
processors. Embedded CE 6.0 operating system soft- tures, compatibility and flexibility.
ware in a familiar environment while

USB-Powered, Desktop-Performance and Community-Supported OMAP™ Development Platform


You Cannot Afford to Miss
The USB-powered Beagle Board is a low- • USB/5-V power options • DLP® projectors
cost, fan-less single-board computer that • LCD*/serial expansion headers • Much more…
unleashes laptop-like performance and Software:
expandability without the bulk, expense or • Bootloader BeagleBoard.org
noise of typical desktop machines. The • Diagnostic Linux kernel and tests BeagleBoard.org promotes and motivates
Beagle Board enables you to flesh out • Library of open source projects** Open Source software development on
your innovative ideas in conjunction with a OMAP processor-based systems, with
Applications of BeagleBoard: over 1,000 developers already participat-
large and growing open source develop-
• Silent digital media center ing. The key objectives are to:
ment community and utilizes expansion
• Web browser (Firefox 3) • Enable innovators and hobbyists to
via a wide range of standard PC peripher-
• 3-D and open source gaming explore new domains and experiment
als. When it becomes necessary to work
• Android-based appliances with their ideas on an open platform
with greater hardware customization
• Video codec development • Enable such experiments to be con-
capabilities or to utilize off-the-shelf soft-
• Video teleconferencing ducted cost effectively and thereby
ware, look to the OMAP35x Evaluation
• Thin clients nurture innovation
Module from Texas Instruments
• Low-power, low-cost desktop • Provide a community collaboration point
(www.ti.com/omap35x).
• Autonomous robots for OMAP processor platform developers
Specifications include: • Wearable computers
Hardware: • Digital signs or photo frames
• OMAP 3530 processor • Netbook development
• ARM® Cortex™-A8 CPU • Intelligent security cameras
• HD-capable C64x+™ CPU • Automotive vision and media
• 3-D graphics acceleration • Software-defined radio
• 256-MB LPDDR RAM* • Home automation
• 256-MB NAND Flash memory • And many more…!
• On-The-Go high-speed USB port
Hardware expansion:
• Host-only high-speed USB port*
• 802.11b/g/n networking
• 8-bit SD/MMC 6-in-1 connector
• 3G wireless modems
• DVI-D out
• Web cameras
• S-video (TV) out
• Analog I/O
• Stereo audio out/in Go to beagleboard.org to order your Beagle
• HDTVs
• JTAG in-circuit emulation header Board (U.S. $159) today!
* New for Rev C.
** See more at beagleboard.org/project

Texas Instruments 2Q 2009 Embedded Processing Guide


52 Software and Development Tools
 Multimedia Framework Products
Algorithm Standards and Frameworks
Standards for Algorithm Interoperability and Integration

Get more information on algorithm standards and frameworks at: www.ti.com/frameworks

TI's multimedia framework products provides low-level components (DSKT,


The xDAIS and xDM standards simplify
include the xDAIS and xDM algorithm DMAN3) that enable a framework to query
integration of multiple algorithms into
standards and the Codec Engine algorithm xDAIS-compliant algorithms for their
an application. Framework Compo-
execution framework. memory and DMA resources and then
nents enable users to easily develop
allocate them.
frameworks that can use xDAIS- eXpressDSP Algorithm
compliant algorithms. Codec Engine is Interoperabilty Standard (xDAIS) Codec Engine
a low-level framework that automates xDAIS and the eXpressDSP Digital Codec Engine is an algorithm execution
instantiation of xDAIS and xDM- Multimedia (xDM) standards leverage the framework that automates the invocation
compliant algorithms. ability of DSPs to perform a wide range of and instantiation of eXpressDSP-compliant
multimedia functions on a single device. algorithms. Codec Engine can execute in
• xDAIS and xDM
xDAIS accelerates “time-to-revenue” by ARM®-only, ARM+DSP or DSP-only envi-
• eXpressDSP™ Algorithm
eliminating integration problems caused ronments and supports concurrent execu-
Interface Standard (xDAIS)
by algorithms having hard-coded access tion of multiple channels and algorithms.
• xDAIS for Digital Media (xDM)
to system resources that must be shared Codec Engine is designed to be used in
• Well-established standard first
with other algorithms. xDAIS forces an conjunction with higher layer frameworks
introduced in 1999
algorithm to let the application framework or middleware that provide A/V synchro-
• Enhanced in 2006 to support
decide which resources to allocate. nization, I/O and network services while
DaVinci™ digital media API
calling Codec Engine for algorithm-
• Framework Components xDM specifies a standard API for the
processing operations. This approach
• Off-the-shelf modules for query- application to call a particular algorithm
enables system providers to easily differ-
ing and allocating xDAIS algorithm class, enabling an integrator to quickly
entiate their applications. Codec Engine is
memory and DMA resources change to an algorithm from a different
currently available for Linux and Windows®
source if different functionality or perform-
• Codec Engine CE on ARM-based devices and for
ance is required. The xDM standard
• Automated instantiation of DSP/BIOS™ kernel on DSPs.
defines APIs for several classes of codecs,
xDAIS/xDM algorithms, including
including video decode/encode and audio An important feature of Codec Engine is
resource allocation
encode/decode. the consistent APIs that it provides across
• Transparent remote or local
TI SoC and DSP devices, enabling scalable
execution eXpressDSP Framework
development for multiple TI devices and
• Easily incorporated into higher- Components
easier migration to new TI architectures.
level frameworks For developers who wish to develop
eXpressDSP-compliant frameworks, TI

Application Framework

xDM IVIDDEC APIs

Codec Engine
MPEG-4 Decode H.264 Decode
IALG IDMA3 IALG IDMA3 eXpressDSP
Framework
Components

Query & Grant Memory Query & Grant Memory


and DMA, Resources and DMA, Resources

For further technical information or for Application Framework


no-charge downloads of multimedia Embedded OS
framework products, go to Drivers
Memory DSP
www.ti.com/frameworks.
Use of xDAIS and xDM APIs enable easy integration of multiple algorithms into an application.

Texas Instruments 2Q 2009 Embedded Processing Guide


Software and Development Tools 53


eXpressDSP™ Digital Media Software
Digital Media Software Portfolio
Encoders, Decoders, Codecs and Libraries

Get more information about eXpressDSP Digital Media Software at: www.ti.com/digitalmediasoftware

eXpressDSP Digital Media Software is eXpressDSP Digital Media Software is pro- Unlike example software or freeware, digi-
a set of production-tested encoders, duction tested and optimized for TI DSP tal media software components all follow
decoders, codecs and libraries that and SoC platforms. Instead of investing stringent coding guidelines. Each module
address the most common media- time and effort in standardized media en- includes a re-targetable production library
processing functions required by coders, decoders and libraries, OEMs are featuring reentrant code. Each module is
embedded product OEMs. able to save years of development time fully documented with a data sheet,
and can focus development efforts on dif- release notes, user’s guide and usage
Features and Benefits ferentiating product features. eXpressDSP examples. All digital media software is unit
• High-quality encoding Digital Media Software is available for free tested and system tested with thousands
• Tested against PC-based refer- evaluation on TI EVMs, and numerous of test vectors in world-class testing labs.
ence encoders to within 0.5 dB flexible production licensing options exist The encoders and decoders are hardened
PSNR at typical bit rates to fit any development need. with a wide range of test vectors from end
• Robust, error resilient decoding equipments and applications in which TI
Visit www.ti.com/digitalmediasoftware to:
• Tested with ITU standard test digital media software is deployed: wire-
• Evaluate or purchase digital media soft-
streams and error streams less handsets, wireless networking equip-
ware for your TI DVEVM or DVDP board.
ment, video/IP phones, streaming media
• Application-specific libraries • Learn more about flexible production
appliances, set-top boxes and video infra-
• Video analytics for security licensing that allows for a range of
structure to name just a few.
applications pricing options based on project quantity
• Graphics for 2-D and 3-D video • View published suggested resale pricing
on DaVinci™ technology options.

• All TI Digital Media Software is


compliant to the xDM API and inte-
Broad eXpressDSP Digital Media Software Portfolio
grated with the DaVinci software Target Hardware
Codecs DM646x DM644x DM643x DM648 DM3x OMAP35x
infrastructure
Video & Imaging
• TI Digital Media Software is available H.264 Video Dec     
through the TI eStore at H.264 Video Enc     
JPEG Imaging Dec      
www.ti.com/codecbundles or
JPEG Imaging Enc      
through TI Authorized Software MPEG-2 Video Dec    
Providers (complete list can be MPEG-2 Video Enc 
found at www.ti.com/asp) MPEG-4 Video Dec     
MPEG-4 Video Enc     
VC1 Video Dec   
Audio
AAC Audio Dec      
AAC Audio Enc      
MP3 Audio Dec      
MP3 Audio Enc  
WMA Audio Dec/Enc      
Voice
G.711 Dec/Enc     Open Source 
Other
Network Developer   Open Source
Kit (NDK)
Request your free evaluation of
TI digital media software at
www.ti.com/requestfreesoftware.

Texas Instruments 2Q 2009 Embedded Processing Guide


54 Software and Development Tools
 eXpressDSP™ Digital Media Software
Authorized Software Providers Support TI Digital Media Software

The TI Digital Media Software portfolio is a compliance with the xDAIS eXpressDSP from highly qualified, trained ASPs, who
collection of optimized encoders, algorithm interface standard and xDM can also offer additional software IP
decoders, codecs and libraries licensed by extension for digital media, and each products or custom engineering services
TI and by TI Authorized Software Providers component is packaged for easy integra- to enable rapid time to market for TI
(ASPs) to customers worldwide. Each soft- tion with DaVinci™ and eXpressDSP customers.
ware component was developed by TI or software framework building blocks. The
by TI Developer Network members in entire portfolio is available with support

Authorized Software Providers by Region


Region
ASP Americas Europe China Japan Korea India Asia – Other
ATEME      
eInfochips   
eSOL 
Ingenient      
Ittiam       
LogicPD 
MPC Data 
NSU 
SEED Electronic Tech. 
TES Electronic Solutions  
Wintech Digital   

Customers have several entry points to through Codec Engine and application some examples include Windows® CE with
designing with TI SoC and DSP proces- software built on it. Because all TI digital the DirectShow media framework and
sors, ranging from high-level frameworks media software components have been GStreamer for Linux.
and applications to low-level functions. tested and integrated with Codec Engine
The concept of multiple development entry and the full DaVinci software infrastructure, To request a free software evaluation,
points is also incorporated in eXpressDSP mid-level entry points are fully enabled. sign up for updates or ask questions,
Digital Media Software, which enables a Even higher-level entry points are possible go to “Contact Me” at
low-level entry point at the codec API layer via applications and operating systems www.ti.com/requestfreesoftware.
and also allows higher-level entry points built on the DaVinci software foundation;

Texas Instruments 2Q 2009 Embedded Processing Guide


Software and Development Tools 55


eXpressDSP™ Software and Development Tools
Getting Started
Integrated Development Environment, Codecs, Training and Kits

TI and members of the TI DSP Developer DaVinci Development Tools/Kits


Code Composer Studio™
Network offer a wide range of hardware Comprehensive ARM®/DSP system-level
Integrated Development
and software getting started kits and tooling helps developers jump start devel-
Environment Free Evaluation
production-ready solutions to jump start opment of any digital video application.
Tools
new designs. These bundled solutions are The DaVinci kits are designed to simplify
• Supports TMS320C2000™,
an excellent way to evaluate TI processors, development of digital video applications
TMS320C5000™,
digital media codecs and test drive the such as video phones, automotive info-
TMS320C6000™, OMAP™ and
eXpressDSP tools offering to begin appli- tainment, digital still cameras, streaming
DaVinci™ processors
cation development. Many production- media, IP set-top boxes, video security
• 120-day full-featured trial
ready bundles include full software licens- systems and digital video products that
• Online tutorials and multimedia fea-
es, production-ready software and stand- have yet to be invented.(V&I Solns Tools)
ture demos show off key capabilities
alone emulators to take an application into • Digital Video Development Platform
• Order CD-ROM online or download
production. A wide selection of daughter (DVDP) includes both hardware and
at www.ti.com/freetools.
cards is also available to add capability software to enable immediate evalua-
Evaluation Codecs and new hardware features. Reference tion of DaVinci DSP-based processors.
• High-quality, production-tested designs provide developers with hardware Available today for both the
encoders, decoders, codecs and and software ready for rapid product TMS320DM648 and TMS320DM6437
other digital media software implementation and deployment, for fully digital media processors, the DVDP pro-
modules and algorithms system tested end equipment applications. vides developers with a comprehensive
• Free supported 60-day software platform that can be used throughout
DSP Starter Kits
evaluation the entire design process.
Starting at just U.S. $395, Digital Signal
• More information on digital media
Processing Starter Kits (DSKs) and eZdsp™ • Digital Video Evaluation Module
software, including a complete
Starter Kits are a low-cost entry-level (DVEVM), comprised of both hardware
inventory, can be found at
means of evaluating TI processor platforms and software, enables developers to
www.ti.com/digitalmediasoftware.
and eXpressDSP development tools. TI, start instantaneous evaluation of
Training partnered with Spectrum Digital Inc., offers DaVinci ARM9-based processors and
• Workshops a variety of processor selections in each begin building digital video applications.
• Online training platform to let developers test algorithms, Available today on TMS320DM6446,
• View online at www.ti.com/training. benchmark code and write simple programs TMS320DM355, TMS320DM357,
to prove out designs and evaluate the TMS320DM365 and TMS320DM6467
Development Kits and Boards processor architecture. The DSKs are bun- digital media processors.
• DSP starter kits (DSKs) offer complete dled with a special Code Composer Studio
low-cost evaluator’s bundles includ- Whether you are just starting a project
(CCStudio) IDE that runs exclusively through
ing development tools and hardware and need to determine which processor
the embedded emulation on the target
• Evaluation modules (EVMs) include a best suits your application or beginning
board, so that developers can explore the
wide range of TI and third-party product design, TI’s Starter Kits,
rich feature set that CCStudio IDE has to
development boards and production Development Platforms and Evaluation
offer. Nearly a dozen DSKs are available
bundles Modules offer developers bundled solu-
today for the TMS320C2000,
• Development platforms combine tions designed to simplify and accelerate
TMS320C5000 and TMS320C6000
production-ready, application-specific the application design process from
processor platforms.
software and hardware in an easy- concept through production.
to-use development environment for
rapid prototyping
• Daughter cards and plug-on mod-
ules compliment TI or third-party
DSKs and development boards

Texas Instruments 2Q 2009 Embedded Processing Guide


56 Software and Development Tools
 eXpressDSP™ Software and Development Tools
DaVinci™ Development Tools and Software
Evaluation, Development and Production Kits

Get more information on DaVinci development tools and software at: www.ti.com/davincitools

TI offers a wide range of development tools specifically for aiding DaVinci technology-based design. For a complete list, visit
www.ti.com/davincitools.
DaVinci ARM® and ARM+DSP Tools DaVinci DSP
Evaluation and Development Production Evaluation, Development and Production
DM6446 DM355 DM6467 DM6437 DM648
DVEVM DVEVM DVEVM MontaVista Pro DVDP DVDP
U.S. $2,495 U.S. $495 U.S. $1,995 U.S. $8,995 U.S. $495 U.S. $1,295
Hardware
DaVinci target board     
Video camera/LCD 
Power supply w/ US, EU and UK cables     
Connectivity cables     
Mass storage 40-GB HDD 2-GB NAND Flash 40-GB HDD
IR remote control   
On-board EMU 
Software
Linux-based DVSDK   
DSP/BIOS™ kernel-based DVSDK  
MontaVista Linux Pro Demo Demo Demo License
Third-party software demos and evals GHS MULTI® Ittiam
C64x+™ Linux code-generation tools Eval Eval Eval
Code Composer Studio™ IDE v3.3 H/W version Eval
VLIB Software Library† 
†VLIB
demonstration requires the TMS320DM6437 Digital Video Development Platform (DVDP) based on DaVinci technology. For more information or to request
access, go to www.ti.com/vlib.

Texas Instruments 2Q 2009 Embedded Processing Guide


Software and Development Tools 57


eXpressDSP™ Software and Development Tools
OMAP™ Development Tools and Software
Evaluation, Development and Production Kits

Get more information on OMAP development tools and software at: www.ti.com/omap35xtools

Evaluation and Development Production


MontaVista Mobilinux MontaVista Pro
OMAP3503 EVM OMAP-L137 Starter Kit for OMAP35x for OMAP-L1x
OMAP ARM® U.S. $1,495 U.S. $395 U.S. $26,985 U.S. $8,995
Hardware
OMAP target board  
Power supply with US, EU, and UK cables  
Connectivity cables  
Memory 64-MB mobile DDR SDRAM 4-MB serial Flash
128-MB NAND Flash 64-MB SDRAM
Display with touch screen 
On-board emulation 
Software
TI platform support (SDK) 
TI DVSDK * *
Linux BSP (kernel 2.6.22)  *
WinCE BSP *
Third-party development tools (evaluation)** *
Code Composer Studio™ IDE (tied to hardware) 
MontaVista Pro 5 Demo License
MontaVista Mobilinux production license 
* Available through www.ti.com/omapsoftwareupdates with EVM registration
** Sourcery G++™ evaluation tools from CodeSourcery™ ARM RVDS Evaluation IDE and Microsoft Visual Studio Evaluation tools

DSP Development Tools


Evaluation and Development Kits

Get more information on DSP development tools and software at: www.ti.com/dspdevkits

DSP Starter Kits


Part Number Description
TMDSDSK6713 TMS320C6713 DSP Starter Kit (DSK)
TMDSDSK6416-T TMS320C6416 DSP Starter Kit (DSK)
TMDSDSK6455 TMS320C6455 DSP Starter Kit (DSK)
TMDSDSK5416 TMS320C54x™ DSP Starter Kit (DSK)
TMDSDSK5510 TMS320C55x™ DSP Starter Kit (DSK)
TMDSDSK5509 TMS320C5509 DSP Starter Kit (DSK)
TMDXEZ28044 TMS320F28044 eZdsp™ Starter Kit
TMDSEZD2407 TMS320LF2407A eZdsp Starter Kit
TMDSEZD2812 TMS320F2812 eZdsp Starter Kit
TMDSEZS2812 F2812 eZdsp Starter Kit (Socketed)
TMDSEZS2808 F2808 eZdsp Starter Kit (Socketed)
TMDXEZ28335 TMS320F28335 eZdsp Starter Kit

Texas Instruments 2Q 2009 Embedded Processing Guide


58 Software and Development Tools
 TI DSP Developer Network
TI DSP Developer Network Overview
A Wide Variety of DSP
Solutions More than 200 independent DSP
Get additional information and search
Utilize the TI DSP Developer Network for: Developer Network members provide a
for Developer Network products and
vital link between TI silicon and the final
• Complete solutions – Members services at:
application by providing additional hard-
offer complete solutions for quickly www.ti.com/dspdevnetwork.
ware, algorithms and libraries, software
solving application problems. Most
tools and consulting services.
solutions incorporate TI’s data con- reference designs, documentation and
Products/services include:
verters, power management devices user’s guides
and other quality TI devices. • End-equipment solutions –
Production-ready, DSP/SoC application- DSP Developer Network Product
• Reduced time to market – Time- Catalog on TI’s Website
specific resources and collateral
consuming programming and For information regarding the vast array of
bundles, inclusive of block diagrams,
troubleshooting tasks can be elimi- products available from TI’s DSP
application notes, tools, software and
nated by utilizing proven hardware, Developer Network, check out:
other full end-equipment solution-
software, algorithms and libraries www.ti.com/dspdevnetwork. Extensive
related information
from members. information can be found through search-
• Embedded software – Production-
• Lower costs – Don’t spend time able listings of members located world-
tested, application-targeted software
and money recreating something wide. Search hundreds of listings by com-
components, optimized at the processor-
that has already been produced. pany, device supported, keyword, product
specific level, for popular industry
Members enable you to dedicate name or product category.
standards
your resources to producing value- DSP Developer Network Logo
added, application-specific products. • Engineering services – DSP/SoC
Indicates TMS320™
generation-specific hardware and soft-
• Additional expertise – Members Processor-Based Solution
ware design, manufacturing and con-
provide consulting services, training, Registered TI DSP Developer Network
sulting services, ranging from board-
integration, contract engineering, members use a distinctive DSP Developer
level designs to full-turnkey support
research and development and Network logo on various printed and elec-
much more. They are an extra • Development tools – DSP/SoC tronic collateral. Look for the logo to iden-
resource to assist you with your generation-specific physical develop- tify companies that are ready to provide a
product development efforts. ment of evaluation boards and kits, TMS320
application-targeted companion chips processor-
eXpressDSP™-Compliant and cards, and other development based solution. DSP Developer
Products
resources such as emulation tools, Network
Texas Instruments, in conjunction with
its industry-leading DSP Developer
Network, offers an array of eXpressDSP- Embedded Software Engineering Services
compliant algorithms designed to • Operating systems • Digital hardware/board design
reduce system integration time and • Codecs and algorithms • Software design services
lower support and development costs • Application-specific libraries • Full turnkey design services
• Framework software • Analog/RF/Power designs
by eliminating custom coding tasks.
• Drivers • Manufacturing
DSP Developer Network members also
• Signal-processing libraries • Consulting
provide eXpressDSP-compliant plug-in
tools to reduce development time.
Development Tools End-Equipment Solutions
• Compilers, assemblers, linkers • Video and imaging
• Evaluation modules and development • Communications and telecom
boards • Security
• Debuggers • Wireless
• Emulators/Analyzers
• Daughter cards
• Free evaluation tools

Solutions to reduce development time

Texas Instruments 2Q 2009 Embedded Processing Guide


Software and Development Tools 59


Embedded Processing Development Tools Feature Matrix

er +
ice

ink C+
erv
IDE

sK m

r/L C/
h
lS
/Ke e

pe orit

ble ools

em Tim

it

)
rne

are
dio

vel Alg

sem n T
yst al-

tor
n

w
r’
Stu
tio

/As atio

d
g S Re

DV tor
De SP

a
r

$U K
l
rip

(Ha
o

u
n

ard D

SD
r

tin ™

Em
iler ner
r

tio

ose

l
bsc
be

u
nd 0™

.S. 1
K

DV
era IOS

Em
rd
rip

SD
mp Ge
um

ne
mp
Su

oa
Sta MS32

IOS
esc

Op SP/B

Co e-

ard
alo
rt N

tB
Co
al

P/B
lD

nd

ux
Co

bo
nu

ge

ce
de
Pa

Lin
Too

Sta

On
An

Tar

Pri
DS
Co
TI

TMDSCCSALL-1 Code Composer Studio™ (CCStudio) IDE Development Tools Platinum Edition X X X X X 3,595
TMDSCCS2000-1 C2000 Code Composer Studio IDE Development Tools2 X X2 X2 X X2 495
SPRC119 Code Composer Studio IDE Free Evaluation Tools L L X L Free
TMDSEVM3530 OMAP35x Evaluation Module (EVM) X X6 1,495
3 3 3
TMDXOSKL137BET OMAP-L137/TMS320C6747 Floating Point Starter Kit X X X X X X6 395
TMDSEVM355 TMS320DM355 Digital Video Evaluation Module (DVEVM) X X 495
TMDXEVM357 TMS320DM357 Digital Video Evaluation Module (DVEVM) X X 895
TMDXEVM365 TMS320DM365 Digital Video Evaluation Module (DVEVM) X X 595
TMDSEVM6446 TMS320DM644x Digital Video Evaluation Module (DVEVM) X X 2,495
TMDSEVM6467 TMS320DM6467 Digital Video Evaluation Module (DVEVM) X X 1,995
TMDSVDP6437 TMS320DM6437 Digital Video Development Platform (DVDP) X3 X3 X X3 X X 495
TMDXDVP648 TMS320DM648 Digital Video Development Platform (DVDP) L L X L X X 1,295
TMDSDVSPBA9-L MontaVista Pro Digital Video Software Production Bundle (DVSPB) X4 X 8,995
TMDSDVSPBA9-3L MontaVista Pro Digital Video Software Production Bundle w/ CCStudio+EMU X4 X X X X X X 12,995
TMDXEVM6474 TMS320C6474 Evaluation Module X3 X3 X X3 X 1,995
TMDXEVM6424 TMS320C6424 Evaluation Module X3 X3 X X3 X 495
TMDXEVM6452 TMS320C6452 Evaluation Module X3 X3 X X3 X 1,295
TMDXEVM6457 TMS320C6457 Evaluation Module X3 X3 X X3 X 1,995
TMDSDSK6713 TMS320C6713 DSP Starter Kit (DSK)5 X3 X3 X X3 X 415
TMDSDSK6416-T TMS320C6416 DSP Starter Kit (DSK)5 X3 X3 X X3 X 495
TMDSDSK6455 TMS320C6455 DSP Starter Kit (DSK) X3 X3 X X3 X 595
TMDXEVM6455 TMS320C6455 Evaluation Module w/ sRIO5 X3 X3 X X3 X 1,795
TMDSEVM642 TMS320DM642 Evaluation Module X 1,995
TMDSDMK642 TMS320DM642 Digital Media Development Kit X X X X X X X 6,495
TMDSPDK6727 Pro Audio Development Kit (PADK) X 1,495
TMDSPDB6727 Pro Audio Development Kit (PADK) Bundle X X X X X X X 5,995
TMDSDSK5416 TMS320C54x™ DSP Starter Kit (DSK)5 X3 X3 X X3 X 395
TMDSDSK5510 TMS320C55x™ DSP Starter Kit (DSK)5 X3 X3 X X3 X 395
TMDSDSK5509 TMS320C5509 DSP Starter Kit (DSK)5 X3 X3 X X3 X 495
TMDX28027USB controlSTICK™ USB Evaluation Tool X3 X X X X 39
TMDXDOCK28027 TMS320F28027 Experimenter’s Kit X3 X X X X 79
TMDSDOCK2808 TMS320F2808 Experimenter’s Kit X3 X X X X 89
TMDSDOCK28335 TMS320F28335 Experimenter’s Kit X3 X X X X 99
TMDXDOCK28343 TMS320C28343 Experimenter’s Kit X3 X X X 159
TMDXDOCK28346-168 TMS320C28346 Experimenter’s Kit X3 X X X 189
TMDSPREX28 TMS320F28335 Peripheral Explorer Kit X3 X X X 179
TMDSDCDC2KIT Digital Power Experimenter’s Kit X3 X X X 229
TMDSDCDC8KIT Digital Power Developer’s Kit X3 X X X 325
TMDSACDCKIT AC/DC Developer’s Kit X3 X X X 695
TMDSRESDCKIT Resonant DC/DC Developer’s Kit X3 X X X X 229
TMDSENRGYKIT Renewable Energy Developer’s Kit X3 X X X 349
TMDSEMU2000U TMS320C2000 Blackhawk USB JTAG Emulator X 299
TMDSEMU560PCI XDS560™ Blackhawk PCI-Bus High-Performance JTAG Emulator X 2,995
TMDSEMU560U XDS560 Blackhawk USB High-Performance Emulator X 2,999
TMDSEMU560T XDS560 USB Trace Emulator X 9,995
TMDSEMU510U XDS510™-Class USB Plus JTAG Emulator (SDI) X 1,895
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI prior
to placing orders. TI may verify final pricing prior to accepting any order.
2 C2000 target support only. DSP/BIOS kernel and C++ compiler are supported on C28x platform only. 5 Orderable part number will vary to specify European power cords
3 Code Composer Studio IDE is limited to use with the target hardware board ONLY. 6 Available at www.ti.com/omapsoftwareupdates with EVM registraiton
4 MontaVista Pro license X = included L = Full featured – Limited to 120 days

Texas Instruments 2Q 2009 Embedded Processing Guide


60 Embedded Processing Support Resources
 Support
Embedded Processing Support
Design Answers at Your Fingertips

Obtain additional information on training, technical documentation and more at: www.ti.com

Get to market easily and quickly by leveraging TI support. Customers can access fast and accurate support for their embedded applica-
tions. From Getting Started to technical documentation and much more, TI offers expanded technical support for DSP and MCU design
and development 24/7. Online training, webcasts, workshops, MCU Day and TI Technology Days provide convenient training choices.

Publications MCU NewsFlash TI Product Information Center


eTech Innovations eNewsletter From ultra-low power MSP430 MCUs and (PIC)
Receive the latest high-performance TMS320C2000™ real- Worldwide technical support staff are
digital signal pro- time controllers, to 32-bit general-purpose available to answer questions and trou-
cessing news ARM7-based bleshoot problems. Contact the PIC by
from TI including: MCUs, TI offers e-mail or directly by phone. See the
DSP silicon, soft- designers the worldwide contact information inside the
ware, video/imag- broadest range of front cover for the e-mail and phone
ing systems appli- embedded control number appropriate to your area.
cations and sup- solutions, and pro- www.ti.com/designsupport.
port information. vides you the lat-
Subscribe today est MCU news Tech-Working: TI E2E Online
for this free updates via the Community
monthly eNewsletter to be delivered right MCU NewsFlash,
to your inbox in html or text format. published quarter-
www.ti.com/etechdsg. ly. Subscribe at
www.ti.com/mcunewsflash.
My.TI.com Newsletter
Receive a customized weekly e-Newsletter Motor Control Newsletter
and choose the topics and stories that you Get the latest information on motor-control
want to read. Learn about the latest tech- solutions from TI – from the industry’s
nology in audio, communications, control, highest-performance optimized controllers
security, systems, telecom, video/imaging to leading analog Interact with peers and engineers from TI
and much more. solutions designed to solve design problems via forums, find
www.ti.com/myti. specifically for and share development tools in communi-
motor-control ty groups or network as you might in your
applications. We’ll favorite social networking site. You can
also update you also log-in to find/follow friends, add
on the latest hard- favorites, comment, rate, change your
ware and software avatar and/or receive updates via your
tools to help personal feed. All while gaining valuable
speed your designs to production. knowledge for your current or next design.
www.ti.com/mcnews. e2e.ti.com.

Technical Documentation
Find complete and easy-to-use data sheets,
user’s guides and application reports for
all TI DSP platforms and corresponding
DSP software development tools.
www.ti.com/techdocsdsg.

Texas Instruments 2Q 2009 Embedded Processing Guide


Embedded Processing Support Resources 61


Support
DSP Support • OMAP35x Video Casts – Download the Open Source Software
newest video casts discussing the bene- TI sees open source as a means to drive
Getting Started with TI DSP
fits of the OMAP35x applications innovation, ultimately enabling our cus-
processor and the Open Source tomers to create market-leading devices
Community. that push the envelope with performance
www.ti.com/omap35xvideocasts. and advanced capabilities. TI participates
in a number of open source industry ini-
Podcasts
tiatives such as the Open Handset
The podcasts feature industry news, tech-
Alliance, ARM®’s Mobile Linux Initiative,
nology updates and practical tips regard-
The Linux Foundation, GStreamer, Eclipse
ing the latest innovations from TI in digital
and Helix, among others. This site will
signal processing. Check out the archive
serve to foster collaboration between TI
at www.ti.com/podcasts.
and the open source community and pro-
Blogs vides a list of ongoing open source proj-
TI’s web-based “Getting Started” DSP
Check out the latest posts by TI DSP lead- ects we support.
support tool helps engineers get their
ers. Interesting, enlightening and opinion- opensource.ti.com.
designs from inspiration to implementation
ated, they’ll give you insight into trends at
quickly and easily. Designers choosing to DaVinci™ Technology Developers’
TI and throughout the industry. See what
use TI DSPs in their real-time applications Wiki
they’re saying at
get easy-to-access introductory DSP con- The DaVinci Technology Developers’ Wiki
www.ti.com/video360blogdsg.
tent, thus decreasing the learning curve provides a collaborative environment for
and speeding products to market. DSP Webcasts digital media engineers using Texas
www.ti.com/gettingstarteddsg. TI conducts free DSP webcasts to address Instruments DaVinci processors to share
topics most critical to designers. A typical technical tips and open source code. The
Video Casts: Engineering in Front of
webcast includes a presentation followed Developers’ Wiki is designed to get you to
the Camera
by a question & answer session with the market faster by shortening the design
TI provides a variety of quick videos, all
technical engineering presenter specializ- knowledge ramp, assist other developers
under five minutes, showcasing the
ing in the topic. After the live event, DSP, innovate and foster a growth of DaVinci
OMAP35x and DaVinci™ processors.
OMAP™ and DaVinci technology webcasts technology knowledge on hardware and
These on-demand video casts feature
are available via the webcast archives. software.
complete platform information including
www.ti.com/webcasts. www.ti.com/davinciwikidsg.
hardware, software, system block
diagrams and much more. DSP Discussion Groups
• DM355 Video Casts – The DM355 Join the community of DSP users and Microcontroller Support
video casts give engineers the technical share information about signal processing
meat on the DM355 processor and application design. Peer-to-peer discus- New Interactive MCU Selection Tool
solutions. Topics include: Codec Engine, sion groups cover topics on OMAP and Try our new device selection tool to find
software, tools, an architectural overview DaVinci processors, along with other the right microcontroller with all the fea-
and discussions on the future of video. TMS320™ DSPs and controllers. tures and functions you need.
www.ti.com/dm355videocasts. www.ti.com/discussgroupdsg. www.ti.com/mcutool.

• DM6467 Video Casts – View one or all MCU Development Tools


of the DM6467 video casts for a look at Learn more about the great selection of
why this DaVinci SoC is ideal for HD easy-to-use MCU development tools that
transcoding. TI experts discuss the add functionality and speed your design
future of transcoding, as well as the cycle. www.ti.com/msp430tools and
DM6467 processor, DVEVM and video www.ti.com/controlstick.
surveillance.
www.ti.com/dm6467videocasts.

Texas Instruments 2Q 2009 Embedded Processing Guide


62 Embedded Processing Support Resources
 Support
Training Resources
Online Training • Webcast Library • One-Day Workshops • Multi-Day Workshops

Get updated information on TI training resources at: www.ti.com/training

TI Technology Days
TI Technology Days include technical The sessions include topics within multiple also representatives available at various
design seminars that focus on the tech- tracks on analog signal chain, digital sig- booths for dialog on specific TI products.
niques of practical design applications nal processing, low-power RF, microcon- www.ti.com/techdays2009.
illustrated along with technology exhibits. trollers and power management. There are

Online Training
A variety of free online training courses self-paced, online training courses cover- Visit www.ti.com/onlinetrainingdsg for
are available to you at your fingertips ing DSP, DaVinci™, MSP430, C2000™ more FREE courses!
24/7. Learn more about how to design and analog applications, easy-to-use soft-
your signal processing application with ware development tools and more.

DSP Webcast Library


The library contains a variety of webcasts most critical to designers. Designed for Question & Answer session with the tech-
ranging from technical “How-Tos” to sys- 24/7 access worldwide via the Web, these nical engineering presenter specializing in
tems solution presentations and product webcasts typically last one hour. Each the topic. To access the library, visit
overviews which address current topics includes a presentation followed by a www.ti.com/webcastsdsg.

One-Day Workshops
One-day workshops are introductory TMS320F2808 eZdsp™ One-Day C2000™ Piccolo™ One-Day
courses designed to offer product or tech- Workshop Workshop
nology knowledge. These workshops • Basic DSP controller implementation • Overview of Piccolo F2802x devices
include a significant hands-on section and • TMS320F2808 controller architecture • Basic system initialization
are ideal introductions to get started with • How to use PC-based development • Peripheral set-up
TI DSPs and MCUs. Visit tools – F2808 eZdsp and Code • Programming into Flash memory via
www.ti.com/1dayworkshopsdsg for a Composer Studio™ IDE Flash plug-in utility
complete list of courses. • System design www.ti.com/eptraining.
www.ti.com/f2808ezdsp1dwdsg.
MSP430 One-Day Workshop
TMS320DM6437 DaVinci Technology TMS320F28335 eZdsp™ One-Day • MSP430 introduction
One-Day Workshop Workshop • MSP430 nuts and bolts
• In-depth look at the core device archi- • Basic digital signal controller • Ultra-low power concept
tecture of TMS320DM643x processors implementation • Analog peripherals
and an overview of the software • TMS320F28335 controller architecture • Timing and communication
infrastructure • How to use PC-based development www.ti.com/eptraining.
• Audio and video driver usage in tools – F28335 eZdsp and Code
DSP/BIOS™ kernel and Linux-based Composer Studio IDE
systems • Peripheral programming and system
• Codec Engine framework design
• Capabilities of the DSP/BIOS™ kernel www.ti.com/eptraining.
and Linux operating systems
www.ti.com/dm64371dwdsg.

Texas Instruments 2Q 2009 Embedded Processing Guide


Embedded Processing Support Resources 63


Support
Multi-Day Workshops
Three- or four-day, hands-on, advanced, TMS320C645x DSP System TMS320C28x™ DSP Workshop
educational courses are highly technical Integration Workshop • Architectural overview
and designed for engineers who want to • Architectural overview • Programming development environment
sharpen their design and development • Code Composer Studio IDE basics • Peripheral register header files
skills. Managed by TI’s technical training • Introduction to DSP/BIOS kernel • Reset and interrupts system
staff, these workshops include extensive • Basic memory setup, PLL, CSL 3.0 • Initialization analog-to-digital
hands-on labs emphasizing the • Interrupts • Converter control peripherals
demonstration and application of • EDMA 3.0 • Numerical concepts and IQMath
techniques and skills. • Using the EMAC/NDK • Using DSP/BIOS kernel
• Serial RapidIO® and MSGQ • System design communications
• Optimizing your code/system www.ti.com/c28xmdwdsg.
TMS320DM644x DaVinci™
• System design considerations
Technology Workshop Digital Power Multi-Day Workshop
www.ti.com/c645xmdwdsg.
• Introduction to DaVinci technology: • Introduction to digital power
device, software and tools TMS320C64x™/C67x™ DSP System • Digital PSU and controller design using
• Application layer programming Integration Workshop the C2000 controller
• Signal-processing layer programming • Use Code Composer Studio Platinum • Digital compensator design
• Advanced system programming details Edition 3.1 IDE • Running multiple stand-alone power
www.ti.com/dm644xmdwdsg. • Design a real-time double-buffered supplies from Flash
system
TMS320DM64x™ Video System Registration
• DSP/BIOS kernel scheduling
Integration Workshop To register for these workshops, please
• McBSP serial ports multi-channel
• TMS320DM642 hardware visit www.ti.com/multidayworkshopsdsg.
features
• Code Composer Studio™ IDE
• EDMA´s advanced features
introduction
• Evaluate and use C6000 boot loader
• DSP/BIOS™ kernel introduction
• Setting up a bootable image in
• Reference frameworks
Flash ROM
• Video basics
www.ti.com/c64xc67xmdwdsg.
• Video peripherals
• Using and modifying the FVID driver DSP/BIOS OS Design Workshop
• Optimizations • Real-time system concepts
• Audio • Work with software development tools
• Communications provided by Code Composer Studio IDE
www.ti.com/dm64xmdwdsg. and TMS320C6416 DSP
• Software interrupts (SWI)
TMS320C6000™ DSP Optimization
• Multi-tasking
Workshop
• Communicating with tasks
• C6000™ DSP architecture and pipeline
• Writing device drivers
• Introduction to Code Composer
• Memory management/dynamic object
Studio™ IDE using C programs
creation capabilities
• Optimizing code
www.ti.com/dspbiosmdwdsg.
• Software pipelining techniques
• Numerical issues with fixed-point
processors
• Writing high-speed interruptible code
• Internal memory and cache
www.ti.com/c6000optmdwdsg.

Texas Instruments 2Q 2009 Embedded Processing Guide


64 TI Embedded Processor Device Nomenclature

Texas Instruments embedded processor device nomenclature optional temperature range character, and the device speed des-
includes a prefix (signifying the device qualification status), the ignation. Other variations do exist on a limited basis. See the spe-
device family number (i.e., 320 or 32 for TI DSPs), a technology cific device data sheet for additional information on device
symbol, the device number (typically three to five alpha-numeric nomenclature for that device.
characters), a two- or three-character package type code, an
For the most updated information, visit www.ti.com

Typical Digital Signal Processor Nomenclature

TMS 320 DM 6437 ( ) ZWT ( ) 6


Prefix Device Speed Range
TMX = Experimental device 4 = 400 MHz
TMS = Qualified device 5 = 500 MHz
6 = 600 MHz
Device Family
320 = TMS320™ DSP family Temperature Range (Junction)
Blank = 0°C to 90°C, Commercial Grade
Technology Q = –40°C to 125°C, Automotive Grade
DM = Digital media R = 0°C to 90°C, Commercial Grade (Tape and Reel)
S = –40°C to 125°C, Automotive Grade (Tape and Reel)
Device
Package Type
C64x+™ DSP: ZWT = 361-pin plastic BGA, with Pb-Free soldered balls
6437 ZDU = 376-pin plastic BGA, with Pb-Free soldered balls (Green)
6435
6433
6431 Silicon Revision
Blank = Revision 1.3

Typical Applications Processor Nomenclature

X OMAP3530 B CBB
Prefix Package Type
X = Experimental device CBB = 515-pin PGBA
P = Prototype device CUS = 423-pin PBGA
null = Production device
Device Silicon Revision

TMS320C2000™ Microcontroller Nomenclature

TMS320 F 28027 ZGM A


Device Family Temperature Range
TMS = TMS320™ MCU Family A = –40°C to 85°C
T = –40°C to 105°C
Technology S = –40°C to 125°C
F = Flash EEPROM Q = –40°C to 125°C (AEC-Q100)
C = ROM
Package Type
Device Number
280x/281x/282x = Fixed-Point Series
2802x/2803x = Piccolo™ Series
283x = Delfino™ Floating-Point Series

MSP430 Part Number Nomenclature

MSP 430 F G 4 6 19 I QZW R


Prefix Optional
MSP = Mixed-signal processor R = Tape & Reel
XMS = Experimental silicon
Device Family Package
430 = 430 MCU platform

Memory Type Temperature Range


F = Flash I = –40°C to 85°C
C = ROM T = –40°C to 105°C
P = OTP
Optional: Special Function Family
G = Medical Memory size and
E = E-Meter Peripherals configuration
W = Water Meter
Optional: Special Function Series of Similar Function
1xx 3xx 5xx
2xx 4xx

Texas Instruments 2Q 2009 Embedded Processing Guide


Complementary Products for the TMS320™ DSP Family 65


Linear and Logic Products

Analog Switch Analog Switches for TMS320 DSPs


• Provide a high-speed bidirectional Ron Ron
Ron Flatness Mismatch V+ (V) V+ (V) ON Time OFF Time
bus interface between DSPs, CPUs,
Device (max) (max) (max) (min) (max) (ns) (max) (ns) (max) Pins / Package
industry standard buses, memory SPST
and peripherals. TS5A3166 0.9 0.15 — 1.65 5.5 7 11.5 5/SC70, SOT-23, WCSP
• TI’s analog switches are designed to TS5A3167 0.9 0.15 — 1.65 5.5 7 11.5 5/SC70, SOT-23, WCSP
pass (or isolate) analog signals (both TS5A4594 8 1.5 — 2.7 5.5 17 14 5/SC70, SOT-23
voltage and current) and support TS5A4595 8 1.5 — 2.7 5.5 17 14 5/SC70, SOT-23
analog applications such as audio TS5A4596 8 1.5 — 2.7 5.5 17 14 5/SC70, SOT-23
and video data transmission. TS5A4597 8 1.5 — 2.7 5.5 17 14 5/SC70, SOT-23
• TI’s TS product family encompasses TS5A1066 10 5 — 1.65 5.5 5.5 4.5 5/SC70, SOT-23, WCSP
a variety of analog switches with dif- SPST x 2
TS5A23166 0.9 0.25 0.1 1.65 5.5 7.5 11 8/US8, WCSP
ferent ON resistances, bandwidth,
TS5A23167 0.9 0.25 0.1 1.65 5.5 7.5 11 8/US8, WCSP
charge injection and total harmonic
TS3A4741 0.9 0.4 0.05 1.65 3.6 14 9 8/MSOP
distortion to target any application.
TS5A2066 10 5 1 1.65 5.5 5.8 3.6 8/SM8, US8, WCSP
Voltage Level Translation SPST x 4
• Interfacing any low-voltage compo- TS3A4751 0.9 0.4 0.05 1.65 3.6 14 9 14/TSSOP
SPDT
nent with legacy, high-voltage devices.
TS5A6542 0.75 0.25 0.25 2.25 5.5 25 20 8/WCSP
• Protects a DSP that has non-
TS5A4624 0.9 0.25 0.1 1.65 5.5 22 8 6/SC70
over-voltage tolerant inputs.
TS5A3153 0.9 0.15 0.1 1.65 5.5 16 15 8/US8, WCSP
• These dual-supply devices allow for TS5A3154 0.9 0.15 0.1 1.65 5.5 8 12.5 8/US8, WCSP
bidirectional level translation be- SPST x 4
tween different voltage nodes from TS3A4751 0.9 0.4 0.05 1.65 3.6 14 9 14/TSSOP
1.2 V to 3.6 V and 1.65 V to 5.5 V. SPDT
TS5A6542 0.75 0.25 0.25 2.25 5.5 25 20 8/WCSP
I/O Expansion
TS5A4624 0.9 0.25 0.1 1.65 5.5 22 8 6/SC70
• TI’s I2C I/O expanders allow system
TS5A3153 0.9 0.15 0.1 1.65 5.5 16 15 8/US8, WCSP
layout to be greatly simplified. TS5A3154 0.9 0.15 0.1 1.65 5.5 8 12.5 8/US8, WCSP
• Two-wire bus reduces PCB com- TS5A3159A 0.9 0.25 0.1 1.65 5.5 30 20 6/SC70, SOT-23, WCSP
plexity through trace reduction and TS5A3159 1.1 0.15 0.1 1.65 5.5 35 20 6/SC70, SOT-23
routing simplification. TS5A3160 0.9 0.25 0.1 1.65 5.5 6 13 6/SC70, SOT-23
TS5A3157 10 5 0.2 1.65 5.5 8.5 6.5 6/SC70, SOT-23, WCSP
Bus Interface Products
TS5A63157 10 2 0.14 1.65 5.5 5 3.4 6/SC70, SOT-23
• The LVC and ALVC families offer VCC
TS5A2053 13.8 4.5 4.5 1.65 5.5 6.8 4.1 8/SM8, US8
fully specified to match the needs of SPDT x 2
the TMS320™ DSP family: TS5A23159 0.9 0.25 0.1 1.65 5.5 13 8 10/MSOP, QFN
3.3 V, 2.5 V and 1.8 V. TS5A23160 0.9 0.25 0.1 1.65 5.5 5.5 10 10/MSOP
• Broad range of surface mount pack- TS5A23157 10 4(typ) 0.15 (typ) 1.65 5.5 5.7 3.8 10/MSOP, QFN
aging options from SOIC to BGA. SPDT x 4
• Propagation delays of 3 ns and TS3A5018 10 7 0.8 1.65 3.6 8 6.5 16/SOIC, SSOP (QSOP), TSSOP, TVSOP, QFN
below. SP3T
• Bus hold on data inputs decreases TS5A3359 0.9 0.25 0.1 1.65 5.5 21 10.5 8/US8
system component count by elimi- TS5A3357 15 6.5 (typ) 0.1 (typ) 1.65 5.5 6.5 3.7 8/SM8, US8
SP4T x 2
nating the need for external pull-up/
TS3A5017 12 9 2 2.3 3.6 9.5 3.5 16/SOIC, SSOP (QSOP), TSSOP, TVSOP, QFN
pull-down resistors.

Texas Instruments 2Q 2009 Embedded Processing Guide


66 Complementary Products for the TMS320™ DSP Family
 Linear and Logic Products
Design Considerations • Provide migration paths from old archi-
VCCA* VCCB*
Supply voltages continue to migrate to tectures to new architectures
lower nodes to support today’s low-power, For two devices to have switching TI Level
Translation
high-performance applications. While capability: ASIC Solution I/O
Devices
some devices are capable of running at • VOH of the driver must be greater than
lower supply nodes, others might not have the VIH of the receiver
this capability. To have switching capability • VOL of the driver must be less than the
between these devices, the output of each VIL of the receiver
driver must be compliant with the input of • The output voltage from the driver must *VCCA not equal to VCCB
the receiver that it is driving. not exceed the I/O voltage tolerance of Typical situation in which a level translator is
Why use a voltage-level translator? the receiver needed.
• Easily interface between subsystems
operating with different supply voltages

Voltage-Level Translation
Single-Supply Translators
Device Bits Trans Low (V) Trans High (V) VCC (V) DIR Pins Bus Hold OE Pins tpd (max) (ns)
SN74AUP1T57 3 2.5 3.3 2.3–3.6 No No No 6.2
SN74AUP1T58 3 2.5 3.3 2.3–3.6 No No No 6.2
SN74AUP1T97 3 2.5 3.3 2.3–3.6 No No No 6.2
SN74AUP1T98 3 2.5 3.3 2.3–3.6 No No No 6.2
Dual-Supply Translators
Device Bits Trans Low (V) Trans High (V) VCCA (V) VCCB (V) DIR Pins Bus Hold OE Pins tpd (max) (ns)
TXB0101 1 1.2 5 1.2 to 3.6 1.65 to 5.5 Auto No 1 4.5
TXB0102 2 1.2 5 1.2 to 3.6 1.65 to 5.5 Auto No 1 4.5
TXB0104 4 1.2 5 1.2 to 3.6 1.65 to 5.5 Auto No 1 4
TXB0104-Q1 4 1.2 5 1.2 to 3.6 1.65 to 5.5 Auto No 1 7
TXB0106 6 1.2 5 1.2 to 3.6 1.65 to 5.5 Auto No 1 4
TXB0108 8 1.2 5 1.2 to 3.6 1.65 to 5.5 Auto No 1 4
TXS0101 1 1.8 5 1.65 to 3.6 2.3 to 5.5 Auto No 1 7.5
TXS0102 2 1.8 5 1.65 to 3.6 2.3 to 5.5 Auto No 1 4.6
TXS0104E 4 1.8 5 1.65 to 3.6 2.3 to 5.5 Auto No 1 4.6
TXS0108E 8 1.2 5 1.2 to 3.6 1.65 to 5.5 Auto No 1 4.8
SN74AVC1T45 1 1.2 3.3 1.2 to 3.6 1.2 to 3.6 1 No No 2.8
SN74AVC2T45 2 1.2 3.3 1.2 to 3.6 1.2 to 3.6 1 No No 2.4
SN74AVCH1T45 1 1.2 3.3 1.2 to 3.6 1.2 to 3.6 1 Yes No 2.8
SN74AVCH2T45 2 1.2 3.3 1.2 to 3.6 1.2 to 3.6 1 Yes No 2.4
SN74AVC2T245 2 1.2 3.3 1.2 to 3.6 1.2 to 3.6 2 No 1 2.4
SN74AVC4T245 4 1.2 3.3 1.2 to 3.6 1.2 to 3.6 2 No 2 2.9
SN74AVC8T245 8 1.2 3.3 1.2 to 3.6 1.2 to 3.6 1 No 1 2.5
SN74AVC16T245 16 1.2 3.3 1.2 to 3.6 1.2 to 3.6 2 No 2 2.7
SN74AVC20T245 20 1.2 3.3 1.2 to 3.6 1.2 to 3.6 2 No 2 2.9
SN74AVC24T245 24 1.2 3.3 1.2 to 3.6 1.2 to 3.6 6 No 6 2.7
SN74AVC32T245 32 1.2 3.3 1.2 to 3.6 1.2 to 3.6 4 No 4 2.7
SN74AVCH4T245 4 1.2 3.3 1.2 to 3.6 1.2 to 3.6 2 Yes 2 2.9
SN74AVCH8T245 8 1.2 3.3 1.2 to 3.6 1.2 to 3.6 1 Yes 1 2.5
SN74AVCH16T245 16 1.2 3.3 1.2 to 3.6 1.2 to 3.6 2 Yes 2 2.7
SN74AVCH20T245 20 1.2 3.3 1.2 to 3.6 1.2 to 3.6 2 Yes 2 2.9
SN74AVCH24T245 24 1.2 3.3 1.2 to 3.6 1.2 to 3.6 6 Yes 6 2.7
SN74AVCH32T245 32 1.2 3.3 1.2 to 3.6 1.2 to 3.6 4 Yes 4 2.7
SN74AVC4T774 4 1.2 3.3 1.2 to 3.6 1.2 to 3.6 4 No 1 2.4
SN74LVC1T45 1 1.8 5 1.65 to 5.5 1.65 to 5.5 1 No No 3.9
SN74LVC2T45 2 1.8 5 1.65 to 5.5 1.65 to 5.5 1 No No 3.9
SN74LVC8T245 8 1.8 5 1.65 to 5.5 1.65 to 5.5 1 No 1 4.2
SN74LVCH8T245 8 1.8 5 1.65 to 5.5 1.65 to 5.5 1 Yes 1 4.2
SN74LVC16T245 16 1.8 5 1.65 to 5.5 1.65 to 5.5 2 No 2 4.2
SN74LVCH16T245 16 1.8 5 1.65 to 5.5 1.65 to 5.5 2 Yes 2 4.2

Texas Instruments 2Q 2009 Embedded Processing Guide


Complementary Products for the TMS320™ DSP Family 67


Linear and Logic Products
Low-Voltage I /O Expanders Selection Guide
Max Bit or Additional Features I/O Type
Frequency I 2C VCC Range Channel Low Configuration 5-V-Tolerant Push- Open-
Device (kHz) Address (V) Width Power Interrupt Reset Registers I/O Pull Drain
TCA6408A 400 0100 00x 1.65 to 5.5 8-bit      
TCA6416A 400 0100 00x 1.65 to 5.5 16-bit      
Preview products are listed in bold blue.

I /O Expanders Selection Guide


Max Bit or Additional Features I/O Type
Frequency I 2C VCC Range Channel Low Configuration 5-V-Tolerant Push- Open-
Device (kHz) Address (V) Width Power Interrupt Reset Registers I/O Pull Drain
PCA9536 400 1000 001 2.3 to 5.5 4-bit   
PCA6107 400 0011 xxx 2.3 to 5.5 8-bit       
PCA9534 400 0100 xxx 2.3 to 5.5 8-bit     
PCA9534A 400 0111 xxx 2.3 to 5.5 8-bit     
PCA9538 400 1110 0xx 2.3 to 5.5 8-bit      
PCA9554A 400 0111 xxx 2.3 to 5.5 8-bit    
PCA9554 400 0100 xxx 2.3 to 5.5 8-bit    
PCA9557 400 0011 xxx 2.3 to 5.5 8-bit      
PCA9535 400 0100 xxx 2.3 to 5.5 16-bit     
PCA9539 400 1110 1xx 2.3 to 5.5 16-bit      
PCA9555 400 0100 xxx 2.3 to 5.5 16-bit    
PCF8574 100 0100 xxx 2.5 to 6.0 8-bit  
PCF8574A 100 0111 xxx 2.5 to 6.0 8-bit  
PCF8575 400 0100 xxx 2.5 to 5.5 16-bit  
PCF8575C 400 0100 xxx 4.5 to 5.5 16-bit  

Texas Instruments 2Q 2009 Embedded Processing Guide


68 Complementary Products for the TMS320™ DSP Family
 Linear and Logic Products
LED Driver Selection Guide
Max Bit or Additional Features I/O Type
Frequency I 2C VCC Range Channel No. of Enable Dimming and Brightness 5-V Tolerant Push- Open-
Device (kHz) Address (V) Width PWMs Interrupt Pin Control Registers I/O Pull Drain
TCA6507 400 100 0101 1.65 to 3.6 7-channel 2    

Bus Interface for TMS320 DSPs


Supply tpd max Package
Device Description Voltage (ns) (Number of Pins)
SN74ALVC16244A 16-bit buffer/driver with 3-state outputs 3.3 V 3 TSSOP, SSOP(48) / VFBGA(56)
SN74ALVCH16244 16-bit buffer/driver with 3-state outputs 3.3 V 3 TSSOP, TVSOP, SSOP(48) / VFBGA(56)
SN74ALVCH16245 16-bit bus transceiver with 3-state outputs 3.3 V 3 TSSOP, TVSOP, SSOP(48) / VFBGA(56)
SN74ALVCH16373 16-bit transparent D-type latch with 3-state outputs 3.3 V 3.6 TSSOP, SSOP(48) / VFBGA(56)
SN74ALVCH16374 16-bit edge-triggered D-type flip-flop with 3-state outputs 3.3 V 4.2 TSSOP, SSOP(48) / VFBGA(56)
SN74ALVC16835 18-bit Universal Bus driver with 3-state outputs 3.3 V 3.6 TSSOP, TVSOP, SSOP, VFBGA(56)
SN74ALVCH16835 18-bit Universal Bus driver with 3-state outputs 3.3 V 3.6 TSSOP, TVSOP, SSOP, VFBGA(56)
SN74ALVCH162244 16-bit buffer/driver with 3-state outputs 3.3 V 4.2 TSSOP, SSOP(48)
SN74ALVCH162374 16-bit edge-triggered D-type flip-flop with 3-state outputs 3.3 V 4.6 TSSOP, SSOP(48)
SN74ALVC162835 18-bit Universal Bus driver with 3-state outputs 3.3 V 4.2 TSSOP, TVSOP, SSOP(56)
SN74ALVCH162835 18-bit Universal Bus driver with 3-state outputs 3.3 V 4.2 TSSOP, TVSOP, SSOP(56)
SN74LVC16244A 16-bit buffer/driver with 3-state outputs 3.3 V 4.1 TSSOP, TVSOP, SSOP(48) / VFBGA(56)
SN74LVCH16244A 16-bit buffer/driver with 3-state outputs 3.3 V 4.1 TSSOP, TVSOP, SSOP(48) / VFBGA(56)
SN74LVC16245A 16-bit bus transceiver with 3-state outputs 3.3 V 4 TSSOP, TVSOP, SSOP(48) / VFBGA(56)
SN74LVCH16245A 16-bit bus transceiver with 3-state outputs 3.3 V 4 TSSOP, TVSOP, SSOP(48) / VFBGA(56)
SN74LVC16373A 16-bit transparent D-type latch with 3-state outputs 3.3 V 4.2 TSSOP, TVSOP, SSOP(48) / VFBGA(56)
SN74LVCH16373A 16-bit transparent D-type latch with 3-state outputs 3.3 V 4.2 TSSOP, TVSOP, SSOP(48) / VFBGA(56)
SN74LVC16374A 16-bit edge-triggered D-type flip-flop with 3-state outputs 3.3 V 4.5 TSSOP, TVSOP, SSOP(48) / VFBGA(56)
SN74LVCH16374A 16-bit edge-triggered D-type flip-flop with 3-state outputs 3.3 V 4.5 TSSOP, TVSOP, SSOP(48) / VFBGA(56)

Little Logic Little Logic for TMS320 DSPs


• Ability to place a single gate in Supply tpd max Package
Device Description Voltage (ns) (Number of Pins)
critical locations provides for simpli-
SN74AUC1G00 Single 2-input positive-NAND gate 1.8 V 2.5 SOT, DSBGA (5)
fied routing and board space savings SN74AUC1G04 Single inverter gate 1.8 V 2.5 SOT, DSBGA (5)
• Single gates also provide easy state SN74AUC1G07 Single buffer/driver with open-drain output 1.8 V 2.5 SOT, DSBGA (5)
change for control inputs SN74AUC1G08 Single 2-input positive-AND gate 1.8 V 2.5 SOT, DSBGA (5)
• The NanoStar™ package provides SN74AUC1G14 Single Schmitt-Trigger inverter 1.8 V 2.8 SOT, DSBGA (5)
the industry’s smallest logic package SN74AUC1G32 Single 2-input positive-OR gate 1.8 V 2.5 SOT, DSBGA (5)
SN74AUC1G79 Single positive-edge-triggered D-type flip-flop 1.8 V 1.9 SOT, DSBGA (5)
SN74AUC1G125 Single bus buffer gate with 3-state output 1.8 V 2.5 SOT (5), DSBGA (5)
SN74AUP1G08 Low-power single 2-input positive-AND gate 3.3 V 4.2 SOT (5), DSBGA (5)
SN74AUP1G57 Low-power configurable multiple-function gate 3.3 V 5.3 SOT (6), DSBGA (6)
SN74AUP1G58 Low-power configurable multiple-function gate 3.3 V 5.3 SOT (6), DSBGA (6)
SN74AUP1G97 Low-power configurable multiple-function gate 3.3 V 5.3 SOT (6), DSBGA (6)
SN74AUP1G98 Low-power configurable multiple-function gate 3.3 V 5.3 SOT (6), DSBGA (6)

Texas Instruments 2Q 2009 Embedded Processing Guide


Complementary Products for the TMS320™ DSP Family 69


Data Converters
Device Description C28x™ DSP C54x™ DSP C55x™ DSP C67x™ DSP C64x™ DSP
Data Converters Plug-In for
ADCs
Texas Instruments Code
ADS1216 24-bit, 8-ch, 0.78kSPS, 5 V — X X X —
Composer Studio™ IDE
ADS1217 24-bit, 8-ch, 0.78kSPS, 3.3 V — X X X —
TI’s Data Converter Plug-In (DCP) is a ADS1218 24-bit, 8-ch, 0.78kSPS, with flash — X X X —
free development tool that allows the ADS1240 24-bit, 4-ch, 15SPS — X — X —
creation of initialization data and con- ADS1241 24-bit, 8-ch, 15SPS — X — X —
figuration software for TI data convert- ADS1246 24-bit, 1-ch (diff), 2kSPS — — X1 X1 X1
ers from within the Integrated ADS1247 24-bit, 2-ch (diff), 2kSPS — — X1 X1 X1
ADS1248 24-bit, 4-ch (diff), 2kSPS — — X1 X1 X1
Development Environment (IDE) of
ADS1251 24-bit, 1-ch (diff), 20kSPS — X X X —
Code Composer Studio. It provides ADS1252 24-bit, 1-ch (diff), 40kSPS — X X X —
easy-to-use windows for “point-and- ADS1253 24-bit, 4-ch (diff), 20kSPS, 1.8–3.6 V — X — X —
click” data converter configuration ADS1254 24-bit, 4-ch (diff), 40kSPS, 5 V — X — X —
from within the IDE, preventing illegal ADS1256 24-bit, 8-ch, 30kSPS, very low noise — — X1 X1 X1
combinations of settings. The DCP dia- ADS1258 24-bit, 16-ch, 125kSPS, fast channel cycling — — X1 X1 X1
ADS1271 24-bit, 1-ch, 105kSPS — — — X1 —
log allows the user to select all the dif-
ADS1274 24-bit, 4-ch, 128kSPS, simultaneous sampling — — X1 X1 X1
ferent settings for the data converter
ADS1278 24-bit, 8-ch, 128kSPS, simultaneous sampling — — X1 X1 X1
from a single screen and to automati- ADS1601 16-bit, 1-ch, 1.25MSPS — — X1 X1 X1
cally generate the interface software ADS1602 16-bit, 1-ch, 2.5MSPS — — X1 X1 X1
with a single mouse click. The generat- ADS1605 16-bit, 1-ch (diff), 5MSPS, 3.3-V I/O, 5-V analog — — X1 X1 X1
ed well-documented C-source files ADS1606 16-bit, 1-ch (diff), 5MSPS, 16-word FIFO — — X1 X1 X1
contain all functions necessary to talk ADS1610 16-bit, 1-ch (diff), 10MSPS, 3.3-V I/O, 5-V analog — — X1 X1 X1
ADS1625 18-bit, 1-ch (diff), 1.25MSPS, 3.3-V I/O, 5-V analog — — X1 X1 X1
to the external data converter and to
ADS1626 18-bit, 1-ch (diff), 1.25MSPS, 16-word FIFO — — X1 X1 X1
set up all of the registers internal to ADS7804 12-bit, 1-ch, 100kSPS, ±10-V input range X — X1 X1 X1
this device. The minimum function set ADS7805 16-bit, 1-ch, 100kSPS, ±10-V input range X — X1 X1 X1
includes read/write functions (single ADS7816 12-bit, 1-ch, 200kSPS — — X1 X1 X1
words and blocks of data), initialization ADS7817 12-bit, 1-ch, 200kSPS — — X1 X1 X1
functions and data structures and ADS7818 12-bit, 1-ch, 500kSPS — — X1 X1 X1
ADS7822 12-bit, 1-ch, 200kSPS — — X1 X1 X1
some device-specific functions like
ADS7826 10-bit, 1-ch, 200kSPS — — X1 X1 X1
power down. ADS7827 8-bit, 1-ch, 250kSPS — — X1 X1 X1
The generated code is to a great ADS7829 12-bit, 1-ch, 125kSPS, 2.7 V, microPower X — X1 X1 X1
ADS7834 12-bit, 1-ch, 500kSPS — — X1 X1 X1
extent hardware independent, so it can
ADS7835 12-bit, 1-ch, 500kSPS — — X1 X1 X1
be used together with the analog eval- ADS7841 12-bit, 4-ch, 200kSPS — X1 X1 X1 X1
uation modules (EVMs) from our mod- ADS7861 12-bit, 2+2-ch, 500kSPS, simultaneous sampling X X X X X
ular EVM system, our DSP Starter Kits ADS7864 12-bit, 3×2-chs, 500kSPS, simultaneous sampling — — — X1 X1
(DSKs) or with your own custom board. ADS7881 12-bit, 1-ch, 4MSPS, int. reference — — X1 X1 X1
ADS7886 12-bit, 1-ch, 1MSPS X — X1 X1 X1
To download your free 3.5 version of ADS7891 14-bit, 1-ch, 3MSPS, int. reference — — X1 X1 X1
the Data Converter Plug-In for Code ADS8320 16-bit, 1-ch, 100kSPS, 2.7–5.25 V — — X1 X1 X1
Composer Studio IDE, please go to ADS8321 16-bit, 1-ch, 100kSPS, 4.75–5.25 V — — X1 X1 X1
www.ti.com/dcplug-in. ADS8322 16-bit, 1-ch (diff), 500kSPS, 5 V — — X1 X1 X1
ADS8323 16-bit, 1-ch (diff), 500kSPS, 5 V — — X1 X1 X1
New devices are added to the tool on a ADS8324 14-bit, 1-ch, 50kSPS, 1.8–3.6 V — X X1 X1 X1
regular basis. ADS8325 16-bit, 1-ch, 100kSPS , 2.7–5.5 V — X X1 X1 X1
ADS8328 16-bit, 2-ch, 500kSPS — — X1 X1 X1
For More Information ADS8330 16-bit, 2-ch, 1MSPS — — X1 X1 X1
For additional information on TI data ADS8361 16-bit, 2+2-ch, 500kSPS, simultaneous sampling X X X X X
converters, visit ADS8364 16-bit, 6-ch, 250kSPS — — X1 X1 X1
www.ti.com/dataconverters. ADS8370 16-bit, 1-ch, 600kSPS, unipolar pseudo diff, int. ref. — — X1 X1 X1
ADS8371 16-bit, 1-ch, 750kSPS, unipolar input micro power — — X1 X1 X1
1 Includes DMA support. New devices are listed in bold red.
NOTE: An X indicates that the data converter plug-in generates the interface software for the specified TMS320 DSP generation, which not only
configures the data converter, but also the DSP peripheral the device is connected to (e.g., the serial port or the memory interface). If no X is
present, only the register settings, but no interface functions, are generated.

Texas Instruments 2Q 2009 Embedded Processing Guide


70 Complementary Products for the TMS320™ DSP Family
 Data Converters
Device Description C28x™ DSP C54x™ DSP C55x™ DSP C67x™ DSP C64x™ DSP
ADCs (Continued)
ADS8372 16-bit, 1-ch (diff), 600kSPS, pseudo bipolar, int. ref. — — X1 X1 X1
ADS8380 18-bit, 1-ch, 600kSPS, unipolar pseudo diff, int. ref. — — X1 X1 X1
ADS8381 18-bit, 1-ch, 580kSPS — — X1 X1 X1
ADS8382 18-bit, 1-ch (diff), 600kSPS, pseudo bipolar, int. ref. — — X1 X1 X1
ADS8383 18-bit, 1-ch, 500kSPS — — X1 X1 X1
ADS8401 16-bit, 1-ch, 1.25MSPS, unipolar input X — X1 X1 X1
ADS8402 16-bit, 1-ch, 1.25MSPS, bipolar input X — X1 X1 X1
ADS8405 16-bit, 1-ch, 1.25MSPS, unipolar input X — X1 X1 X1
ADS8406 16-bit, 1-ch, 1.25MSPS, bipolar input X — X1 X1 X1
ADS8411 16-bit, 1-ch, 2MSPS, unipolar input — — X1 X1 X1
ADS8412 16-bit, 1-ch, 2MSPS, bipolar input — — X1 X1 X1
ADS8422 16-bit, 1-ch, 4MSPS, pseudo-bipolar, differential input — — X1 X1 X1
ADS8472 16-bit, 1-ch, 1MSPS, pseudo-bipolar, differential input — — X1 X1 X1
ADS8481 16-bit, 1-ch, 1MSPS, pseudo-differential, unipolar input — — X1 X1 X1
ADS8482 16-bit, 1-ch, 1MSPS, pseudo-biploar, fully differential input — — X1 X1 X1
ADS8504 12-bit, 1-ch, 250kSPS, ±10-V input range X — X1 X1 X1
ADS8505 16-bit, 1-ch, 250kSPS, ±10-V input range X — X1 X1 X1
PCM1804 24-bit, stereo, 192kHz, audio ADC — X X X1 X1
PCM4202 24-bit, stereo, 192kHz, audio ADC — X X X1 X1
PCM4204 24-bit, 4-ch, 216kHz, audio ADC — — — X1 —
THS10064 10-bit, 4-ch, 6MSPS, 16-word FIFO X X X1 X1 X1
THS1007 10-bit, 4-ch, 8MSPS — — — — —
THS10082 10-bit, 2-ch, 8MSPS, 16-word FIFO X X X1 X1 X1
THS1009 10-bit, 2-ch, 8MSPS — — — — —
THS1206 12-bit, 4-ch, 6MSPS, 16-word FIFO X X X1 X1 X1
THS1207 12-bit, 4-ch, 8MSPS — — — — —
THS12082 12-bit, 2-ch, 8MSPS, 16-word FIFO X X X1 X1 X1
THS1209 12-bit, 2-ch, 8MSPS — — — — —
THS1401 14-bit, 1-ch, 1MSPS — — — — —
THS1403 14-bit, 1-ch, 3MSPS — — — — —
THS1408 14-bit, 1-ch, 8MSPS — — — — —
THS14F01 14-bit, 1-ch, 1MSPS, 32-word FIFO — — — — —
THS14F03 14-bit, 1-ch, 3MSPS, 32-word FIFO — — — — —
TLC1514 10-bit, 4-ch, 400kSPS — — — — —
TLC1518 10-bit, 8-ch, 400kSPS — — — — —
TLC2551 12-bit, 1-ch, 400kSPS, 5 V — X — — —
TLC2552 12-bit, 2-ch, 175kSPS, 5 V — X — — —
TLC2554 12-bit, 4-ch, 400kSPS — — — — —
TLC2555 12-bit, 1-ch, 175kSPS, 5 V — X — — —
TLC2558 12-bit, 8-ch, 400kSPS — — — — —
TLC2574 12-bit, 4-ch, 200kSPS, 5 V — X — — —
TLC2578 12-bit, 8-ch, 200kSPS, 5 V — X — — —
TLC3541 14-bit, 1-ch, 200kSPS, 5V — X — X —
TLC3544 14-bit, 4-ch, 200kSPS, 5 V — X — — —
TLC3545 14-bit, 1-ch (diff), 200kSPS, 5 V — X — X —
TLC3548 14-bit, 8-ch, 200kSPS, 5 V — X — — —
TLC3574 14-bit, 4-ch, 200kSPS, 5 V — X — — —
TLC3578 14-bit, 8-ch, 200kSPS, 5 V — X — — —
TLC4541 16-bit, 1-ch, 200kSPS, 5 V — X — X —
TLC4545 16-bit, 1-ch (diff), 200kSPS, 5 V — X — X —
TLV1504 10-bit, 4-ch, 200kSPS — X — X —
TLV1508 10-bit, 8-ch, 200kSPS — X — X —
TLV1570 10-bit, 8-ch, 1.25MSPS — X — X —
1Includes DMA support. New devices are listed in bold red.
NOTE: An X indicates that the data converter plug-in generates the interface software for the specified TMS320 DSP generation, which not only configures the data converter, but also the DSP
peripheral the device is connected to (e.g., the serial port or the memory interface). If no X is present, only the register settings, but no interface functions, are generated.

Texas Instruments 2Q 2009 Embedded Processing Guide


Complementary Products for the TMS320™ DSP Family 71


Data Converters
Device Description C28x™ DSP C54x™ DSP C55x™ DSP C67x™ DSP C64x™ DSP
ADCs (Continued)
TLV1571 10-bit, 1-ch, 1.25MSPS — X — X1 —
TLV1572 10-bit, 1-ch, 1.25MSPS, 2.5–5.5 V — X — — —
TLV1578 10-bit, 8-ch, 1.25MSPS — X — X1 —
TLV2541 12-bit, 1-ch, 200kSPS, 2.7–5.5 V — X — — —
TLV2542 12-bit, 2-ch, 140–200kSPS, 2.7–5.5 V — X — — —
TLV2544 12-bit, 4-ch, 200kSPS — X — X1 —
TLV2545 12-bit, 1-ch, 140-200kSPS, 2.7–5.5 V — X — — —
TLV2548 12-bit, 8-ch, 200kSPS — X — X1 —
TLV2553 12-bit, 11-ch, 200kSPS , 2.7–5 V X X X X X
TLV2556 12-bit, 11-ch, 200kSPS, 2.7–5V, int. reference X X X X X
1 Includes DMA support.

NOTE: An X indicates that the data converter plug-in generates the interface software for the specified TMS320 DSP generation, which not only configures the data converter, but also the DSP
peripheral the device is connected to (e.g., the serial port or the memory interface). If no X is present, only the register settings, but no interface functions, are generated.

Device Description C28x™ DSP C54x™ DSP C55x™ DSP C67x™ DSP C64x™ DSP
DACs
DAC1220 16-bit, 1-ch, 2 ms — — — — —
DAC1221 16-bit, 1-ch, 2 ms — — — — —
DAC7512 12-bit, 1-ch, 10µs, 2.7–5.5 V, int. reference — — — — —
DAC7513 12-bit, 1-ch, 10µs, 2.7–5.5 V — — — — —
DAC7551 12-bit, 1-ch, 5µs, ultra-low glitch X — X1 X1 X1
DAC7552 12-bit, 2-ch, 5µs, ultra-low glitch X — X1 X1 X1
DAC7554 12-bit, 4-ch, 5µs, 2.7–5.5 V X X1 X1 X1 X1
DAC8501 16-bit, 1-ch, 10µs, 2.7–5.5 V, MDAC X X X1 X1 X1
DAC8531 16-bit, 1-ch, 10µs, 2.7–5.5 V X X X1 X1 X1
DAC8532 16-bit, 2-ch, 10µs, 2.7–5.5 V X X X1 X1 X1
DAC8534 16-bit, 4-ch, 10µs, 2.7–5.5 V X X X1 X1 X1
DAC8551 16-bit, 1-ch, 5µs, ultra-low glitch X — X1 X1 X1
DAC8552 16-bit, 2-ch, 10µs, ultra-low glitch X — X1 X1 X1
DAC8554 16-bit, 4-ch, 10µs, ultra-low glitch X — X1 X1 X1
DAC8560 16-bit, 1-ch, 200kSPS X X X1 X1 X1
DAC8580 16-bit, 1-ch, 1µs — — X1 X1 X1
DAC8581 16-bit, 1-ch, 3MSPS, voltage output X — X1 X1 X1
DAC8814 16-bit, 4-ch, 2MSPS — — X1 X1 X1
TLC5618A 12-bit, 2-ch, 2.5µs, 5 V — X — X2 —
TLV320DAC23 24-bit, stereo, 96kHz, audio DAC — — — — —
TLV5604 10-bit, 4-ch, 3µs, 2.7–5.5 V — — — — —
TLV5606 10-bit, 1-ch, 3µs, 2.7–5.5 V — X — X2 —
TLV5608 10-bit, 8-ch, 1µs, 2.7–5.5 V — — — — —
TLV5610 12-bit, 8-ch, 1µs, 2.7–5.5 V — — — — —
TLV5614 12-bit, 4-ch, 3µs, 2.7–5.5 V — — — — —
TLV5616 12-bit, 1-ch, 3µs, 2.7–5.5 V — X — X2 —
TLV5617A 10-bit, 2-ch, 2.5µs, 2.7–5.5 V — X — X2 —
TLV5618A 12-bit, 2-ch, 2.5µs, 2.7–5.5 V — X — X2 —
TLV5623 8-bit, 1-ch, 3µs, 2.7–5.5 V — X — X2 —
TLV5624 8-bit, 1-ch, 1µs, 2.7–5.5 V, int. reference — X — X2 —
TLV5625 8-bit, 2-ch, 2.5µs, 2.7–5.5 V — X — X2 —
TLV5626 8-bit, 2-ch, 1µs, 2.7–5.5 V, int. reference — X — X2 —
TLV5629 8-bit, 8-ch, 1µs, 2.7–5.5 V — — — — —
TLV5630 12-bit, 8-ch, 1µs, 2.7–5.5 V, int. reference — — — — —
TLV5631 10-bit, 8-ch, 1µs, 2.7–5.5 V, int. reference — — — — —
TLV5632 8-bit, 8-ch, 1µs, 2.7–5.5 V — — — — —
TLV5636 12-bit, 1-ch, 1µs, 2.7–5.5 V, int. reference — X — X2 —
TLV5637 10-bit, 2-ch, 1µs, 2.7–5.5 V, int. reference — X — X2 —
TLV5638 12-bit, 2-ch, 1µs, 2.7–5.5 V, int. reference — X — X2 —
1 Includes DMA support. New devices are listed in bold red.
2 These DACs share the same driver. Result data may need to be masked.

Texas Instruments 2Q 2009 Embedded Processing Guide


72 Complementary Products for the TMS320™ DSP Family
 Audio Converters and Controllers
Audio ADCs www.ti.com/adc
No. of
Dynamic Inputs/ Sampling Audio Power
Portable Range No. of Rate Data Supply
Device Description Focus (dB) Outputs (kHz) (max) Format (V) Package(s) Price1
PCM4222 2-channel, high-performance ADC — 124 2/0 216 6-Bit Modulator, +3.3 and +4 TQFP-48 14.95
DSD, Normal,
I2S, TDM
PCM4220 2-channel, high-performance ADC — 123 2/0 216 Normal, I2S, TDM +3.3 and +4 TQFP-48 9.95
PCM4204 4-channel, high-performance ADC, PCM or DSD, high-pass filter — 118 4/0 216 Normal, I2S, DSD, +3.3 and +5 TQFP-64 7.95
TDM
PCM4202 Stereo, high-performance ADC, PCM or DSD, high-pass filter — 118 2/0 216 Normal, I2S, DSD +3.3 and +5 SSOP-28 4.95
PCM4201 Mono, high-performance ADC, PCM or DSD, high-pass filter, — 112 1/0 108 Normal, DSP +3.3 and +5 TSSOP-16 2.50
wide digital supply range, low power dissipation
PCM1804 Stereo ADC, fully differential, high-pass filter — 112 2/0 192 Normal, I2S, DSD +3.3 and +5 SSOP-28 3.95
PCM1802 Stereo ADC, SE input — 105 2/0 96 Normal, I2S +3.3 and +5 SSOP-20 3.35
PCM1803A Stereo ADC, SE input, high-pass filter — 103 2/0 96 Normal, I2S +3.5 and +5 SSOP-20 1.10
PCM1850/1 Stereo ADC w/ 2 × 6 input MUX and PGA, SPI (1850) and I2C (1851) control — 101 2/0 96 Normal, I2S +3.3 and +5 TQFP-32 4.80
PCM1807/8 Stereo ADC, SE input, mute w/ fade, SPI control, S/W (1807) H/W — 101 2/0 96 I2S, L +3.5 and +5 TSSOP-14 1.00
(1808) controlled
PCM1870 Stereo ADC, SE input, digital filter, very low power consumption  90 2/0 50 Normal, I2S, DSP +2.4 and +3.6 QFN-24 1.80
Audio DACs www.ti.com/dac
PCM1792A Stereo, optional DSD format, external filter and DSP interface, SPI/I2C, — 132 0/2 192 Standard, +3.3 and +5 SSOP-28 9.95
differential current output: 7.8 mA p-p I2S, L
PCM1796/8 Stereo advanced segment, 123dB dynamic range, TDMCA serial — 123 0/2 192 Standard, +3.5 and +5 SSOP-28 2.95
interface (1798) I2S, L
PCM4104 4-channel, high-performance, sampling rate up to 216kHz, H/W — 118 0/4 216 Normal, I2S, +3.3 and +5 TQFP-48 4.95
or S/W controlled TDM
PCM1738/30 Stereo advanced segment DAC, soft mute (1730), 2 optional — 117 0/2 192 Normal, I2S, +3.3 and +5 SSOP-28 5.25/
operation modes (1738): External filter and DSD decoder for DSD 5.00
SACD playback and digital attenuation
PCM1791A Stereo advanced segment DAC, optional DSD format, external filter — 113 0/2 192 Normal, I2S, +3.3 and +5 SSOP-28 2.10
and DSP interface, SPI/I2C differential current output: 3.2 mA p-p TDMCA
PCM1793 Stereo advanced segment DAC, balanced voltage outputs, improved — 113 0/2 192 Normal, I2S, +3.3 and +5 SSOP-28 2.10
clock jitter Left Justified
DSD1608 8-channel, enhanced multi-format DAC, supports DSD with TDMCA — 108 0/8 192 Normal, I2S, +3.3 and +5 TQFP-52 5.96
DSD
PCM1780/81/82 Stereo with volume control, software (1780/82) and hardware (1781), — 106 0/2 192 Normal, I2S +5 SSOP-16 1.10
open-drain output zero flag (1782), improved jitter performance
PCM1753/54/55 Stereo with volume control, software (1753/55) and hardware (1754), — 106 0/2 192 Normal, I2S +5 SSOP-16 1.03
open-drain output zero flag (1755)
PCM1608 8-channel, highly integrated DAC, higher SNR — 105 0/8 192 Normal, I2S +3.3 and +5 LQFP-48 4.29
PCM1606 6-channel, low-cost CMOS, multi-level — 103 0/6 192 Normal, I2S +5 SSOP-20 2.00
PCM1680 8-channel, low-cost DAC, improved jitter performance, pin compatible — 103 0/8 192 Normal, I2S +5 SSOP-24 1.50
with PCM1780
TLV320DAC23 I2C and SPI control with headphone amp, Pdiss = 23 mW  100 0/2 96 Normal, I2S, DSP +1.5 to +3.3 VFBGA-80 2.00
PCM1770/1 Stereo with integrated headphone driver, software (1770) and hardware  98 0/2 48 Normal, I2S +1.6 to +3.6 TSSOP-28, 1.25
(1771) controlled QFN-28,
TSSOP-16,
QFN-20
PCM1772/3 Stereo with integrated line out, software (1772) and hardware (1773)  98 0/2 48 Normal, I2S +1.6 to +3.6 TSSOP-16, 1.25
controlled QFN-20
TLV320DAC26 Integrated PLL, SPI control, speaker/headphone amp, Pdiss = 11 mW  97 0/2 53 Normal, I2S, DSP +2.7 to +3.6 QFN-32 2.95
TLV320DAC32 Low-power stereo DAC with PLL and stereo HP/speaker amplifiers  95 0/2 96 Normal, I2S, +2.7 to +3.6 QFN-32 2.75
DSP, TDM
1Suggested resale price in U.S. dollars in quantities of 1,000.
For additional information on TI audio products, please visit www.ti.com/audio.

Texas Instruments 2Q 2009 Embedded Processing Guide


Complementary Products for the TMS320™ DSP Family 73


Audio Converters and Controllers
Audio Codecs www.ti.com/codec
Portable Dynamic Sampling Rate Audio Data Power
Device Description Focus Range (dB) (kHz) (max) Format Supply (V) Package(s) Price1
PCM3168 High-performance, 6 in/8 out audio codec — 112 96 Normal, I2S, 3.3 to 5 HTQFP-64 TBD
DSP, TDM
TLV320AIC34 Low-power quad stereo (4-channel) codec, 12 inputs (mic/line),  102 96 Normal, +2.7 to 3.6 BGA-87 5.95
14 outputs (line, headphone/speaker), 2 PLLs and audio serial I2S, DSP, TDM
buses allow fully asynchronous simultaneous codec operation
TLV320AIC3101 Low-power stereo codec, integrated PLL, 6 inputs (mic/line),  102 96 Normal, +2.7 to 3.6 QFN-32 3.55
6 outputs (line, headphone/speaker), notch filtering, low-power I2S, DSP, TDM
analog bypass
TLV320AIC3104 Low-power stereo codec, integrated PLL, 6 inputs (mic/line),  102 96 Normal, +2.7 to 3.6 QFN-32 3.25
6 outputs (line, headphone), notch filtering, low-power I2S, DSP, TDM
analog bypass
TLV320AIC3105 Low-power stereo codec, integrated PLL, 6 SE inputs (mic/line),  102 96 Normal, +2.7 to 3.6 QFN-32 3.25
6 outputs (line, headphone), notch filtering, low-power I2S, DSP, TDM
analog bypass
TLV320AIC3106 Low-power stereo codec, integrated PLL, 10 inputs (mic/line),  102 96 Normal, +2.7 to 3.6 QFN-32, 3.85
7 outputs (line, headphone), notch filtering, low-power I2S, DSP, TDM BGA-80
analog bypass
TLV320AIC3107 Low-power stereo codec, integrated PLL, 10 inputs (mic/line),  102 96 Normal, +2.7 to 3.6 QFN TBD
7 outputs (line, headphone, mono integrated Class-D amp) I2S, DSP, TDM
TLV320AIC3108 Low-power stereo codec, integrated PLL, 10 inputs (mic/line),  102 96 Normal, +2.7 to 3.6 QFN TBD
7 outputs (line, headphone, stereo integrated Class-D amp) I2S, DSP, TDM
TLV320AIC33 Low-power stereo codec, integrated PLL, 6 inputs,  102 96 Normal, +2.7 to 3.6 QFN-48, 3.95
3 line out and speaker/HP outputs I2S, DSP, TDM BGA-80
TLV320AIC31/32 Low-power stereo codec, integrated PLL, 6 inputs  100 96 Normal, +2.7 to 3.6 QFN-32 3.45
(AIC32-6 single-ended, AIC31-2 differential and 2 single-ended) I2S, DSP, TDM
2 line out and speaker/HP outputs
TLV320AIC23B Low-power, lower cost, stereo codec with headphone amps  100 96 I2S, L, R +2.7 to 3.3 VFBGA-80, 3.00
TSSOP-28, QFN-28
TLV320AIC28/29 Low-power, stereo DAC, mono ADC, integrated PLL, speaker/HP  95 53 Normal, +2.7 to 3.6 QFN-48 3.95/3.45
amp, additional inputs and outputs (AIC29 – differential) I2S, DSP
TLV320AIC26 Low-power, lower cost, stereo DAC, mono ADC,  97 53 Normal, +2.7 to 3.6 QFN-32 3.25
integrated PLL, speaker/HP amp I2S, DSP
PCM3000 Stereo audio codec 18 bits,  98 48 Normal, I2S, +4.5 to 5.5 SSOP-28 3.45
serial interface, software controlled DSP
PCM3001 Stereo audio codec 18 bits,  98 48 Normal, I2S, +4.5 to 5.5 SSOP-28 3.45
serial interface, hardware controlled DSP
PCM3006 Low-power, 3-V supply, stereo codec, hardware controlled  93 48 Normal +2.7 to 3.6 SSOP-24 3.45
PCM3008 Low-power, 2.4-V single supply, stereo codec,  88 48 Normal, I2S +2.1 to 3.6 TSSOP-16 3.10
low-cost, hardware controlled
PCM3793A Ultra-low power stereo codec, 6 inputs (mic/line), 3 outputs  93 48 Normal, I2S, +2.4 to 3.6 QFN-32 4.50
(line/HP/Class-D speaker) DSP
PCM3794A Ultra-low power stereo codec, 6 inputs (mic/line),  93 48 Normal, I2S, +2.4 to 3.6 QFN-32 4.25
5 outputs (line/HP) DSP
1Suggested resale price in U.S. dollars in quantities of 1,000. Preview products are listed in bold blue.
For additional information on TI audio products, please visit www.ti.com/audio. New products are listed in bold red..

Texas Instruments 2Q 2009 Embedded Processing Guide


74 Complementary Products for the TMS320™ DSP Family
 Audio Converters and Controllers
Voiceband Codecs www.ti.com/codec
Sample Number of SNR Analog Supply Logic Supply Power Supply
Device Description Rate (kHz) Input Channel(s) (dB) Interface (V) (V) (mW) (typ) Package(s) Price1
AIC111 Lowest power, 20 bit 40 1 87 SPI, DSP 1.1 to 1.5 1.1 to 3.3 0.46 QFN-32, FlipChip 4.14
TLV320AIC12K Low power, mono codec, 16 bit, 26 1 90 I2C, S2C, DSP 1.65 to 1.95/2.7 to 3.6 1.1 to 3.6 10 TSSOP-30 1.70
26ksps voiceband codec with 8driver
TLV320AIC14K Low power, mono codec, 16 bit 26 1 90 I2C, S2C, DSP 1.65 to 1.95/2.7 to 3.6 1.1 to 3.6 10 TSSOP-30 1.50
26ksps voiceband codec
TLV320AIC20K Low power, stereo codec, 16 bit 26 2 90 I2C, S2C, DSP 1.65 to 1.95/2.7 to 3.6 1.1 to 3.6 20 TQFP-48 2.50
26ksps voiceband codec with 8 driver
TLV320AIC24K Low power, stereo codec, 16 bit 26 2 90 I2C, S2C, DSP 1.65 to 1.95/2.7 to 3.6 1.1 to 3.6 20 TQFP-48 2.30
26ksps voiceband codec

Audio Converters with Integrated Touch-Screen Controller www.ti.com/touchscreencontrollers


Resolution Dynamic Sampling Rate Audio Data Power
Device Description (Bits) (max) Range (dB) (kHz) (max) Configuration Format Supply (V) Package(s) Price1
TSC2100 4-Wire touch-screen interface, low power, lower cost, stereo DAC, 24 97 53 Mono/Stereo Normal, +2.7 to 3.6 QFN-32, 3.95
mono ADC, integrated PLL, speaker/HP amp I2S, DSP TSSOP-32
TSC2111 4-Wire touch-screen interface, low power, stereo DAC, mono ADC, integrated 24 95 53 Mono/Stereo Normal, +2.7 to 3.6 QFN-48 4.95
PLL, speaker/HP amp, additional inputs and outputs (TSC2111 – differential) I2S, DSP
TSC2102 4-Wire touch-screen interface, low power, stereo DAC, 24 97 53 Stereo Normal, +2.7 to 3.6 TSSOP-32 3.70
integrated PLL, speaker/HP amp, low cost I2S, DSP
TSC2300 4-Wire touch-screen interface, low power, stereo DAC, 20 98 48 Mono/Stereo Normal, I2S +2.7 to 3.6 TQFP-64 4.75
mono ADC, integrated PLL
TSC2301 4-Wire touch-screen interface, low power, stereo DAC, 20 98 48 Stereo/Stereo Normal, +2.7 to 3.6 TQFP-64, 4.95
stereo ADC, integrated PLL, HP amp, 4 × 4 keypad interface I2S BGA-120
TSC2302 4-Wire touch-screen interface, low power, stereo DAC, 20 98 48 Stereo/Stereo Normal, +2.7 to 3.6 QFN-48 4.50
stereo ADC, integrated PLL, HP amp I2S
1Suggested resale price in U.S. dollars in quantities of 1,000.
For additional information on TI audio products, please visit www.ti.com/audio.

Texas Instruments 2Q 2009 Embedded Processing Guide


Complementary Products for the TMS320™ DSP Family 75


Interface Products
XIO2000A PCI Express® Bridge Chip
TI provides a complete interface prod-
ucts portfolio that empowers cus- TI’s PCI Express Bridge Chip, the Key Benefits
tomers to differentiate their products XIO2000A, is an industry first. It is • Lowest power solution available today
and accelerate time to market. TI’s designed for seamless migration from the • 323 mW with two PCI cards at 33 MHz
hardware and software portfolios legacy PCI to the PCI Express interface. It • In mass production for over two years
include 1394a and 1394b, USB full- bridges a ×1 PCI Express bus to a 32-bit, • Proven capability and interoperability
speed and USB 2.0 high-speed, PCI- 33-/66-MHz PCI bus capable of support- with leading PCIe chipsets and
to-PCI bridges and PCI Express and ing up to six PCI devices downstream. The plethora of PCI devices
interfaces. Our expertise in high- XIO2000A fully supports PCI Express rates • Built-in adaptive receiver equalizer
speed, mixed-signal circuits, system- of 2.5 Gbps. Its architecture supports the • Improves jitter tolerance thereby reli-
on-a-chip integration and advanced PCI 2.3 interface. The chip’s design ably increasing PCB trace, or cable
product development ensure that you enables PC and I/O add-on card manufac- length, supported by the XIO2000
receive the silicon, support tools, soft- turers to begin transitioning to native PCI • Seven buffered PCI clock outputs
ware and technical documentation to Express technology while preserving com- (33 MHz or 66 MHz)
create and deliver the best products on patibility with existing PCI system software • Reduces external components, costs
time and at competitive prices. TI’s and firmware. and premium board space
Interface Business Unit (IBU) leverages
these technologies and outstanding XIO3130 4-Lane, 4-Port PCIe Switch
customer application support to serve
the broad-based catalog market. TI’s XIO3130 is an integrated PCIe fan-out • Cut-through architecture
switch solution with one upstream ×1 port • Built-in adaptive equalizer in each of
Enabling Faster Time and three downstream ×1 ports. This the four ports
to Market high-performance, integrated solution pro- • Wake-event and beacon support
• Industry leading, high performance vides the latest in PCIe switch technology. • Support for D1, D2, D3hot, and D3cold
• Proven system-level reference It features cut-through architecture and • Active State Power Management (ASPM)
designs integrated reference clock buffers for • Uses both L0s and L1
• Available development and downstream ports. The XIO3130 is fully- • Low-power PCIe transmitter mode
evaluation kits compliant with the PCI Express Base (pre-emphasis disabled)
Providing System-Level Specification Rev. 1.1. It supports • Integrated AUX power switch drains VAUX
Solutions Advanced Error Reporting as defined in power only when main power is “off”
• Extensive industry compatibility and the PCIe base specifications and is back- • Integrated hot-plug support
validation testing wards compatible with the PCI Local Bus • Integrated REFCLK buffers for switch
• Production test, driver and Specification, Rev. 2.3. downstream ports
application software • Advanced error reporting to assist with
Key Features
• Detailed technical applications system debug tools
• PCIe fan-out switch with ×1 upstream
documentation • 3.3-V multi-function I/O pins (e.g., for
port and three ×1 downstream ports
hot-plug status-and-control, or general-
Visit www.ti.com/interface for • Fully compliant with PCIe Base
purpose I/Os)
additional information. Specification, Rev. 1.1
• Listed in PCI-SIG compliance list

TUSB3410 USB-to-Serial Bridge


The TUSB3410 provides an easy way to data rate of 12 Mbps • Automatic RS-485 bus transceiver
move a serial-based legacy device to a • 8052 microcontroller with 16 KBytes of control, with and without echo
fast, flexible USB interface by bridging RAM that can be loaded from the host • Software-selectable baud rate from
between a USB port and an enhanced or from external on-board memory via 50 to 921.6 kbaud
UART serial port. The TUSB3410 contains an I2C bus • Built-in, two-channel DMA controller
all the necessary logic to communicate • Integrated, enhanced UART features for USB/UART bulk I/O
with the host computer using the USB bus. including: • Evaluation module to jump-start USB
Key Features • Programmable software/hardware development or for use as a complete
• USB full-speed-compliant: flow control USB-to-RS-232 converter

Texas Instruments 2Q 2009 Embedded Processing Guide


76 Complementary Products for the TMS320™ DSP Family
 Interface Products
TUSB60xx Family of USB High-Speed OTG Devices
The TUSB60xx family of USB high-speed 2. an embedded USB 2.0 high-speed host such as DaVinci™ TMS320DM643x and
OTG devices enable application proces- controller TMS320C642x DSPs.
sors (DSPs and MCUs) that do not have 3. a full USB 2.0 high-speed OTG device
The TUSB6010B bridges to a 16-bit
integrated USB cores to function as either:
The TUSB6020 enables functionality on MUXED-NORFLASH host interface such as
1. an USB 2.0 high-speed peripheral VLYNQ™-enabled application processors, the OMAP2420, OMAP1710 or
OMAP3430 processor.

USB
Voltage
Device Speed Ports I2C (V) Package Description Price1
USB Hub Controllers
TUSB2036 Full (1.1) 2/3 No 3.3 32 LQFP 2/3-port hub for USB with optional serial EEPROM interface 1.45
TUSB2046B Full (1.1) 4 No 3.3 32 LQFP 4-port hub for USB with optional serial EEPROM interface 1.50
TUSB2077A Full (1.1) 7 No 3.3 48 LQFP 7-port USB hub with optional serial EEPROM interface 2.45
TUSB2136 Full (1.1) 1/2 Yes 3.3 64 LQFP 2-port hub with integrated general-purpose function controller 4.10
Voltage Remote
Device Speed (V) Wakeup Package Description Price1
USB Peripherals
TUSB3210 Full 3.3 Yes 64 LQFP USB full-speed general-purpose device controller 3.15
TUSB3410 Full 3.3 Yes 32 LQFP USB-to-serial converter (RS-232, RS-485) 2.85
TUSB6250 High 3.3 Yes 80 TQFP USB 2.0 high-speed, low-power ATA/ATAPI bridge solution 3.50
TUSB6015 High 1.5, 1.8 & 3.3 Yes 80 MicroStar BGA™ USB 2.0 high-speed to muxed NOR Flash bridge controller 5.00
Voltage Local Bus
Device Speed (V) Package Interface Description Price
USB On-The-Go (OTG) Devices
TUSB6010B High 1.5, 1.8 & 3.3 80 MicroStar BGA 16-Bit Muxed NOR USB 2.0 high-speed On-The-Go to local bus interface controller Call
TUSB6020 High 1.5, 1.8 & 3.3 80 MicroStar BGA VLYNQ USB 2.0 high-speed On-The-Go local bus interface bridge controller Call
Voltage Singled-Ended
Device Speed (V) Package Input Description Price
USB Transceivers
TUSB1105 Full, Low 1.6, 3.6 16 RTZ, 16 RGT Yes USB transceivers Call
TUSB1106 Full, Low 1.6, 3.6 16 RTZ, 16 PW No USB transceivers Call
TUSB2551 Full, Low 1.6, 3.6 14 PW, 16 RGT No USB transceivers Call
1Suggested resale price in U.S. dollars in quantities of 100. Preview devices appear in bold blue.

USB Port Protection—Transient voltage suppressor protects USB 2.0 full-speed and high-speed devices from ESD and electrical noise transients.
Device # # of Channels Application IO Capacitance VBR (Min) Package Price
USB Transient Suppressors
TPD2E001 Dual-Bit/ Single Channel USB HS, USB FS 1.5pF 11 V DRL, DRY, DZD, DRS web
TPD3E001 Three-Bit/Single-Channel USB OTG ESD USB HS OTG, USB FS OTG 1.5pF 11 V DRL, DRY, DRS web
TPD4E001 Four-Bit/Two Channel USB HS, USB FS 1.5pF 11 V DRL, DRS web
TPD4S012 Four-Bit/Two-Channel ESD with VBUS Clamp USB HS with VBUS Clamp 0.9pF 7V DRY web
TPD4E004 Four-Bit/Two Channel USB HS, USB FS 1.6pF 6V DRY web
SN65220, SN75220 Dual-Bit/Single Channel USB FS 35pF 7V DBV, YZP web
SN65240 Four-Bit/Two Channel USB FS 35pF 7V PW, P web

Resources For a complete list of resources (evaluation modules, data sheets and application notes), visit interface.ti.com
Literature Number Description
Application Notes
SLLU043 TUSB3410 UART Evaluation Board
SLLA170B USB/Serial Applications Using TUSB3410/5052 and the VCP Software
SLLAA276 MSP430 USB Connectivity Using TUSB3410

Texas Instruments 2Q 2009 Embedded Processing Guide


Complementary Products for the TMS320™ DSP Family 77


Interface Products

System-Level ESD Protection data transfer, the TPD family offers • Television
Devices IEC61000-4-2 level ESD protection. • Game console
Space-saving, low-capacitance solutions – • External HD
Features and benefits:
System-level ESD strikes are a constant • Digital camera
• Low I/O capacitance ideal for high-
threat to device reliability and functionality. • SIM cards
speed applications
Many low-voltage core chips only offer • Notebook
• Space-saving package solutions help
device-level, human-body model (HBM) • PDA
reduce board footprint
ESD protection, which doesn’t address the • Consumer medical
• Operating voltage range
system-level risks. TI’s TPD family of
flexibility for low-power
stand-alone ESD devices provides space-
applications
saving and cost-effective solutions to pro-
tect the system interconnects from exter- End equipments
nal ESD strikes. For multiple external • Mobile handset
Protected I/O TPDxE001 I/O
interfaces including video, audio and serial • STB Circuit

TPDxE001 application schematics

ESD Protection Solutions


Device # # of Channels Supply Voltage (VDD) I/O Level (V) I/O Capacitance (pF) VBR (Min) (V) Package Application
TPD2E001 2 0.9–5.5 0–VDD 1.5 11 SOT-5, SON-6 USB 2.0, RS-232 / RS-485
TPD3E001 3 0.9–5.5 0–VDD 1.5 11 SOT-5, SON-6 USB OTG
TPD4E001 4 0.9–5.5 0–VDD 1.5 11 SOT-6, SON-6 USB 2.0, Ethernet, Firewire, e-SATA, RS-232 / RS-485
TPD6E001 6 0.9–5.5 0–VDD 1.5 11 QFN-10, QFN-12 USB 2.0, Ethernet, Firewire, e-SATA, RS-232 / RS-485
TPD4E004 4 0.9–5.5 0–VDD 1.6 6 SOT-6, SON-6 USB 2.0, Ethernet, Firewire, e-SATA
TPD6E004 6 0.9–5.5 0–VDD 1.6 6 QFN-8 USB 2.0, Ethernet, Firewire, e-SATA
TPD4S009 4 0.9–5.5 0–VDD 0.9 9 SOT23-6, SC70-6, e-SATA, LVDS signaling
SON-6
TPD8S009 8 0.9–5.5 0–VDD 0.9 9 SON-15 HDMI, display port
TPD12S520 12 0.9–5.5 0–VDD 0.9 9 TSSOP-38 HDMI receiver port
TPD12S521 12 0.9–5.5 0–VDD 0.9 9 TSSOP-38 HDMI transmit port

PCI Bridge Solutions


Intel-Compatible Speed Expansion MicroStar BGA™ Voltage
Device Part No. (MHz) Interface (bits) Hot Swap Packaging (V) Package(s) Description Price1
PCI Bridges
PCI2050B 21150bc 66 32 Yes Yes 3.3, 5 208 LQFP, 32-Bit, 66-MHz, 9-master PCI-to-PCI bridge 9.50
208 QFP, 257 BGA
PCI2250 21152ab 33 32 Friendly No 3.3, 5 176 LQFP, 160 QFP 32-Bit, 33-MHz PCI-to-PCI bridge, compact 6.10
PCI hot-swap friendly, 4-master
PCI2060 — 66 32 Yes Yes 3.3, 5 257 BGA 32-Bit, 66-MHz, 9-master, 9.50
asynchronous PCI-to-PCI bridge
1Suggested resale price in U.S. dollars in quantities of 1,000.

Texas Instruments 2Q 2009 Embedded Processing Guide


78 Complementary Products for the TMS320™ DSP Family
 Interface Products
PCI Express Solutions
Supply Parallel Bus Speed (max) MicroStar BGA™
Device Voltage (V) PCIe Width (Gbps) Packaging Package Description Price*
PCI Express PHY
XIO1100 3.3, 1.8, 1.5 ×1 8, 16 2.5 Yes 100 BGA ×1 PCI Express PHY, compliant with the 7.00
PCI Express Base Specification Revision 1.1
Supply Parallel Bus Wake/Beacon MicroStar BGA
Device Voltage (V) PCIe Masters Support Packaging Package Description Price1
PCI Express Bridge
XIO2000A 3.3, 1.5 ×1 6 Yes Yes 175 BGA, 201 BGA ×1 PCI Express-to-PCI bus translation bridge 10.50
Supply Speed (max) MicroStar BGA
Device Voltage (V) PCIe FIFO (KB) (Mbps) Packaging Package Description Price1
PCI Express Endpoints
XIO2200A 3.3, 1.5 ×1 9 400 Yes 175 BGA, 176 BGA PCI Express-to-PCI bus translation bridge 7.75
with 1394a OHCI and two ports
XIO2213A 3.3, 1.95, 1.5 ×1 8 800 No 167 BGA PCI Express-to-1394b OHCI with three-port PHY 8.70
Supply Downstream Wake/Beacon MicroStar BGA
Device Voltage (V) PCIe PCI Ports Support Packaging Package Description Price1
PCI Express Packet Switch
XIO3130 3.3, 1.5 ×1 3 Yes Yes 196 BGA ×1 PCI Express 4-port fanout packet switch Call
No. of No. of Supply Voltage rON (typ) tpd MicroStar BGA
Device Inputs Outputs Range (V) )
( (typ) (ns) Packaging Package Description Price1
PCI Express Signal Switches*
TS2PCIE2212 2 1 1.7–1.9 10 0.25 No 48 BGA 2-channel PCIe 2:1 multiplexer/demultiplexer 1.61
passive FET switch
TS2PCIE412 2 1 1.5–2.1 12.5 0.25 No 42 QFN 4-channel PCIe 2:1 multiplexer/demultiplexer Preview
passive FET switch
1Suggested resale price in U.S. dollars in quantities of 1,000. Preview products are listed in bold blue.
*For additional TI switches, visit www.ti.com/switches

Texas Instruments 2Q 2009 Embedded Processing Guide


TMS320C2000™ Microcontrollers for Real-Time Control 79


Silicon
TMS320C2000 Microcontrollers, Fixed Point and Floating Point
MCU Control. 32-Bit Performance

Get samples, data sheets, tools and app reports at: www.ti.com/c2000

Specifications Device Delfino™ Floating-Point Series


Production F2833x C2834x
• 32-bit C28x™ MCU core with • 150 MIPS/300 MFLOPS • 300 MIPS/600 MFLOPS
Sampling
• 128–512 KB Flash • 196–516 KB SRAM
floating-point option In Development • 16-ch, 12-bit ADC • HiRes PWM, QEP Next
• HiRes PWM, QEP Generation
• Industry’s first floating-point MCUs Future
• Highest performance MCUs at
C2834x
300 MHz and 600 MFLOPS
• Only processors with full software
F282x
compatibility between fixed-point and F2833x

Performance
• 150 MIPS
• 128–512 KB Flash
floating-point F281x
• 16-ch, 12-bit ADC
• 150 MIPS • HiRes PWM, QEP
• Full software compatibility across all • 128–256 KB Flash
• 12.5 MSPS ADC
C28x platform controllers • QEP Piccolo™ Series
• All C28x controllers are AEC Q-100 F282x
F2803x
qualified for automotive applications F281x
F2802x
Applications F280x Next
Green energy (solar, wind, fuel cells), Generation
F280x F2802x F2803x
digital motor control (home appliances, • 40–60 MIPS • 60 MIPS + CLA
• 60–100 MIPS • 32–64 KB Flash • 64–128 KB Flash
industrial drives, medical), digital power • 32–256 KB Flash • 13-ch, 12-bit ADC • 16-ch, 12-bit ADC
• 16-ch, 12-bit ADC • HiRes PWM • HiRes PWM, QEP
supplies (telecom and server rectifiers, • HiRes PWM, QEP • Single 3.3-V supply • Single 3.3-V supply
wireless basestations, UPS), automotive Code-compatible solutions scaling from 40 MHz to 300 MHz
(electric power steering, driver’s
TMS320C2000 Microcontroller Platform Roadmap
assistance radar, wipers, HVAC) The C2000™ controller platform provides an optimized combination of DSP performance and MCU
Features integration for digital control systems.
• Industry’s most efficient C compiler for
32-bit controllers
• Up to 300-MHz operation
• Single-precision 32-bit floating-point
unit on F283xx generation
• Ultra-fast interrupt response time
• Integrated real-time debug simplifies
control system development
• Single-cycle 32×32-bit multiply-
accumulate
• 32-bit and 16-bit instructions optimize
performance and code efficiency
Peripherals
• Up to 512-KB Flash and 68-KB RAM
• Code security module with 128-bit
password protection
• Ultra-fast 12-bit A/D converter with
80-ns conversion time
• High-resolution PWM allows duty-
cycle modulation with down to
65-picosecond accuracy
• Flexible PWM generation allows easy
generation of any switching waveform
• Quadrature encoder interfaces for
easy motor feedback
• Multiple communications interfaces The C2000 microcontroller platform provides an optimized combination of 32-bit performance and
integration for digital control systems. Not all devices contain all modules shown.

Texas Instruments 2Q 2009 Embedded Processing Guide


80 TMS320C2000™ Microcontrollers for Real-Time Control
 Silicon
TMS320C2000 Microcontrollers www.ti.com/c2000
Control Interfaces
12-Bit A/D Communications Ports
Processor Memory Quadra- Chan/ External Core On-Chip
Speed RAM Flash ROM PWM HiRes ture Event Conversion Compa- UART/ Memory Supply GPIO Osc Pins/ 1-KU
Device (MHz) DMA CLA (KB) (KB) (KB) Chan PWM Encoder Captures Timers2 Time (ns) rators McBSP I2C SCI SPI Lin CAN Bus Volt(s) Pins Regulator Packaging Price1
TMS320F2803x Piccolo™ MCUs
TMS320F2803x 60 – No/ 20 64– Boot 9–15 7 1 1 9–12 13–16/217 3 – 1 1 1–2 1 1 – 3.3 26–44 Yes/Yes 56 QFN, 64 TQFP, TBD
Yes 128 80 LQFP,
80 QFP P-Pad
TMS320F2802x Piccolo MCUs
TMS320F28027 60 – – 12 64 Boot 9 4 0 1 9 7–13/217 1–2 – 1 1 1 – – – 3.3 20–22 Yes/Yes 38 TSSOP, 48 LQFP 2.85
TMS320F28026 60 – – 12 32 Boot 9 4 0 1 9 7–13/217 1–2 – 1 1 1 – – – 3.3 20–22 Yes/Yes 38 TSSOP, 48 LQFP 2.65
TMS320F28023 40 – – 12 64 Boot 9 4 0 1 9 7–13/325 1–2 – 1 1 1 – – – 3.3 20–22 Yes/Yes 38 TSSOP, 48 LQFP 2.45
TMS320F28022 40 – – 12 32 Boot 9 4 0 1 9 7–13/325 1–2 – 1 1 1 – – – 3.3 20–22 Yes/Yes 38 TSSOP, 48 LQFP 2.25
TMS320F28021 40 – – 10 64 Boot 9 – 0 1 9 7–13/500 1–2 – 1 1 1 – – – 3.3 20–22 Yes/Yes 38 TSSOP, 48 LQFP 2.20
TMS320F28020 40 – – 6 32 Boot 9 – 0 1 9 7–13/500 1–2 – 1 1 1 – – – 3.3 20–22 Yes/Yes 38 TSSOP, 48 LQFP 1.99
TMS320C2834x Delfino™ Floating-Point Microcontrollers
TMS320C28346 300 Yes – 516 – Boot 24 9 3 6 19 – – 2 1 3 2 – 2 16/32 bit 1.2 88 – 256 GBA 16.39
TMS320C28345 200 Yes – 516 – Boot 24 9 3 6 19 – – 2 1 3 2 – 2 16/32 bit 1.1 88 – 256 GBA, 179 BGA 14.42
TMS320C28344 300 Yes – 260 – Boot 24 9 3 6 19 – – 2 1 3 2 – 2 16/32 bit 1.2 88 – 256 GBA 12.78
TMS320C28343 200 Yes – 260 – Boot 24 9 3 6 19 – – 2 1 3 2 – 2 16/32 bit 1.1 88 – 256 GBA, 179 BGA 11.25
TMS320C28342 300 Yes – 196 – Boot 16 6 2 4 14 – – 1 1 3 2 – 2 16/32 bit 1.2 88 – 256 GBA 10.17
TMS320C28341 200 Yes – 196 – Boot 16 6 2 4 14 – – 1 1 3 2 – 2 16/32 bit 1.1 88 – 256 GBA, 179 BGA 8.95
TMS320F2833x Delfino Floating-Point Microcontrollers
TMS320F28335 150 Yes – 68 512 Boot 18 6 2 6 16 16/80 – 2 1 3 1 – 2 16/32 bit 1.9 88 – 179 BGA, 176 LQFP 15.65
TMS320F28334 150 Yes – 68 256 Boot 16 6 2 4 14 16/80 – 2 1 3 1 – 2 16/32 bit 1.9 88 – 179 BGA, 176 LQFP 14.75
TMS320F28332 100 Yes – 52 128 Boot 16 4 2 4 14 16/80 – 1 1 2 1 – 2 16/32 bit 1.9 88 – 179 BGA, 176 LQFP 13.85
TMS320F28x Fixed-Point MCUs
TMS320F28235 150 Yes – 68 512 Boot 18 6 2 6 16 16/80 – 2 1 3 1 – 2 16/32 bit 1.9 88 – 179 BGA, 176 LQFP 14.55
TMS320F28234 150 Yes – 68 256 Boot 16 6 2 4 14 16/80 – 2 1 3 1 – 2 16/32 bit 1.9 88 – 179 BGA, 176 LQFP 13.72
TMS320F28232 100 Yes – 52 128 Boot 16 4 2 4 14 16/80 – 1 1 2 1 – 2 16/32 bit 1.9 88 – 179 BGA, 176 LQFP 12.88
TMS320F2812 150 – – 36 256 Boot 16 – 2 6 8 16/80 – 1 – 2 1 – 1 16 bit 1.9 56 – 179 BGA, 176 LQFP 15.75
TMS320F2811 150 – – 36 256 Boot 16 – 2 6 8 16/80 – 1 – 2 1 – 1 – 1.9 56 – 128 LQFP 14.75
TMS320F2810 150 – – 36 128 Boot 16 – 2 6 8 16/80 – 1 – 2 1 – 1 – 1.9 56 – 128 LQFP 13.85
TMS320F2809 100 – – 36 256 Boot 16 6 2 4 14 16/80 – – 1 2 4 – 2 – 1.8 35 – 100 BGA, 100 LQFP 12.95
TMS320F2808 100 – – 36 128 Boot 16 4 2 4 14 16/160 – – 1 2 4 – 2 – 1.8 35 – 100 BGA, 100 LQFP 11.60
TMS320F2806 100 – – 20 64 Boot 16 4 2 4 14 16/160 – – 1 2 4 – 1 – 1.8 35 – 100 BGA, 100 LQFP 8.70
TMS320F28044 100 – – 20 128 Boot 16 16 – – 24 16/80 – – 1 1 1 – – – 1.8 35 – 100 LQFP 9.95
TMS320F2802 100 – – 12 64 Boot 8 3 1 2 9 16/160 – – 1 1 2 – 1 – 1.8 35 – 100 BGA, 100 LQFP 7.10
TMS320F2801 100 – – 12 32 Boot 8 3 1 2 9 16/160 – – 1 1 2 – 1 – 1.8 35 – 100 BGA, 100 LQFP 5.80
TMS320F2802-60 60 – – 12 64 Boot 8 3 1 2 9 16/267 – – 1 1 2 – 1 – 1.8 35 – 100 LQFP 4.75
TMS320F2801-60 60 – – 12 32 Boot 8 3 1 2 9 16/267 – – 1 1 2 – 1 – 1.8 35 – 100 LQFP 3.95
TMS320F28016 60 – – 12 32 Boot 10 4 0 2 10 16/267 – – 1 1 1 – 1 – 1.8 35 – 100 LQFP 3.50
TMS320F28015 60 – – 12 32 Boot 10 4 0 2 10 16/267 – – 1 1 1 – – – 1.8 35 – 100 BGA, 100 LQFP 3.25
TMS320C28x ROM MCUs3
TMS320C2812 150 – – 36 – 256 16 – 2 6 8 16/80 – 1 – 2 1 – 1 16 bit 1.9 56 – 179 BGA, 176 LQFP –
TMS320C2811 150 – – 36 – 256 16 – 2 6 8 16/80 – 1 – 2 1 – 1 – 1.9 56 – 128 LQFP –
TMS320C2810 150 – – 36 – 128 16 – 2 6 8 16/80 – 1 – 2 1 – 1 – 1.9 56 – 128 LQFP –
TMS320C2802 100 – – 12 – 64 8 3 1 2 9 16/160 – – 1 1 2 – 1 – 1.8 35 – 100 BGA, 100 LQFP –
TMS320C2801 100 – – 12 – 32 8 3 1 2 9 16/160 – – 1 1 2 – 1 – 1.8 35 – 100 BGA, 100 LQFP –
1Prices are quoted in U.S. dollars and represent 2009 suggested resale pricing. All prices are subject to change.
2Timers include CPU timers, PWM timers, eCAP timers and watchdog timers.
3Minimum quantity order for all ROM devices is 10K units, NRE charge is $11,000
for C28x™ controllers.
Note: All TMS320C28x devices in LQFP packages are available as AEC Q100 qualified.
Note: All devices available in Pb-Free/Green packaging.

Texas Instruments 2Q 2009 Embedded Processing Guide


TMS320C2000™ Microcontrollers for Real-Time Control 81


Silicon
TMS320C2000 New Technology
Better Integration. Easier Development

To learn more about Piccolo™ technology, visit: www.ti.com/piccolo


To learn more about Delfino™ technology, visit: www.ti.com/delfino

C2000™ Piccolo Family


Key Piccolo™ Features
• Up to 60-MHz, 32-bit TMS320C28x The new TMS320F2802x/TMS320F2803x other modules such as high-precision
CPU Piccolo family of C2000 MCUs provides on-chip oscillators, analog comparators,
• 32-kB to 128-kB embedded Flash unparalleled performance with increased communication interfaces and general-
• 12-bit ADC, up to 4.6 MSPS integration to help drive processor- purpose I/O. Available in multiple package
• 150-ps high-resolution PWM intensive real-time control into cost- options starting at 38 pins, the Piccolo
• Control law accelerator (CLA) sensitive applications. Initial F2802x/ family is the ultimate combination of per-
• Package options starting at F2803x devices will include 40 to 60 MHz formance, integration and size. In select
38-pin TSSOP variations, up to 128 kB of Flash memory, F2803x devices, the CLA brings the ability
high-speed 12-bit ADC, high-resolution to run floating-point based control loops
enhanced PWMs, along with a host of independent of the CPU.
Key Delfino™ Features
• Up to 300-MHz C28x™ core Delfino: Floating-Point Development at Your Finger Tips
• 32-bit floating-point precision With Delfino, TI offers two flavors of floating- The new C2834x Delfino MCUs push the
• Up to 65-ps resolution PWM modules point MCUs with unparalleled performance. limits even further, offering 600 MFLOPS
• Multiple capture and 32-bit F2833x devices operate at 150 MHz with of performance. The C2834x platform
QEP modules 300 MFLOPS of performance. The F283xx allows up to 52 percent code reduction
• Direct Memory Access MCUs offer a 50 percent performance and 70 percent reduction in memory
(DMA) controller boost, on average, over current C2000 access time over current C2000 MCUs.
• External memory interface MCUs while operating at the same New speeds enable greater intelligence
• Code compatibility across 150-MHz clock rate. Built on the standard and efficiency in high-end real-time
TMS320C2000™ controller C28x architecture, they are 100 percent control applications.
platform software compatible with all current www.ti.com/delfino.
F28xx MCUs.

Texas Instruments 2Q 2009 Embedded Processing Guide


82 TMS320C2000™ Microcontrollers for Real-Time Control
 Silicon
The Floating-Point Advantage
F283xx
The Delfino™ TMS320F2833x series fea-
tures an integrated hardware floating-point
unit. These floating-point MCUs, operating
at 150 MHz, provide 300 MFLOPS of F283xx
performance while maintaining pin com- F283xx
patibility with their fixed-point counterparts,
the F2823x series. The F2833x floating- F28x F28x F28x
point controllers offer a 50 percent per-
formance boost, on average, over other
C2000™ controllers operating at the
same 150-MHz clock rate.
The Delfino TMS320C2834x series adds
even more performance to the C2000 Math Functions Control Algorithms DSP Performance
platform by providing up to 600 MFLOPS (2.45× Improvement) (1.57× Improvement) (1.38× Improvement)
at 300 MHz. Built on the standard C28x™ F2833x floating-point devices boost performance by an average of 50 percent. C2834x devices add
core architecture, both Delfino series are even more processing power.
100 percent software compatible with all
current TMS320F28xx controllers.

IQMath Provides a Bridge


Between Floating Point and
Fixed Point
Control algorithms typically start life in a
floating-point format, often created with
PC-based simulation tools, such as
MATLAB®. With the availability of the
TMS320F283xx floating-point microcon-
trollers, it is easy to port that floating-point Slash development time and reduce overall costs with TI’s IQMath: floating-point development on a
code to the embedded controller. TI’s fixed-point machine.
IQMath library provides seamless portabili-
Simulation
ty from the F283xx MCUs to fixed-point Platform ®
(i.e., MATLAB )
members of the C28x™ generation. The Auto-Code Generation is
Made Simpler
TMS320F282xx fixed-point MCUs are pin-
to-pin compatible with the F283xx MCUs. “IQMath”
Algorithm
These microcontrollers offer the first set of (C or C++)

processors that provide both hardware One Source Set Fits All

and software compatibility between floating F28x Fixed- F283xx Floating-Point


Point Controllers Controllers
point and fixed point.
Speedy Algorithm Porting
For more information, visit TI’s C28x IQMath Library makes code development easier, faster and enables seamless portability of
www.ti.com/iqmath. code between fixed- and floating-point devices.

C2000 Microcontroller Literature and Related Technical Documentation


All documentation and associated literature, user’s guides, application notes and software can be found by clicking on the specific device
in the parametric table found on the URL below.

TMS320C2000 Microcontrollers www.ti.com/c2000

Texas Instruments 2Q 2009 Embedded Processing Guide


TMS320C2000™ Microcontrollers for Real-Time Control 83


Tools
TMS320C2000 New Technology Tools
Better Integration. Easier Development

To order and learn more about the new C2000™ tools, visit: www.ti.com/c2000tools

C2000™ Development Tools


Key Tool Features
• Stand-alone daughter card for rapid The C2000 controlCARDs™ are the latest controlCARD, custom prototyping boards
prototyping tools for C2000 MCUs and feature a can easily be developed and inexpensively
• Full hardware documentation C2000 processor and all necessary manufactured. TI offers several C2000
[including schematics, Gerbers and support devices on one daughter card, development kits based on the
bill of material (BOM)] requiring only one 5-V supply to be fully controlCARD concept, including two
• Fully documented open source functional. The daughter card plugs into a DC/DC power kits and an AC/DC power
example software simple motherboard connector that gives conversion kit.
• Full version of Code Composer access to every pin on the device. Using a
Studio™ Integrated Development
Environment, with 32-KB size limit,
included
• Low-cost development kits starting
at just U.S. $89

Digital Power C2000


DC/DC Developer’s Kit AC/DC Developer’s Kit Experimenter’s Kit Experimenter Kits controlCards

C2000 controlCARD-based tools

The C2000 controlCARDs are the latest a simple motherboard connector that
tools for TMS320C2000 MCUs. By gives access to every pin on the device.
detaching the C2000 processor and all Currently available with five microcon-
necessary support devices and putting trollers and seven base boards, select
them on “controlCARDs”, a designer can your kits today and start exploring what
test multiple processors on one board. the C2000 MCU has to offer, right out of
Separating the MCU from the base also the box.
decreases replacement costs should
Visit www.ti.com/c2000tools for
accidents happen. These controlCARDs
more information.
require only one 5-V supply and plug into

Piccolo™ controlSTICK™ – U.S. $39


A low-cost USB-based tool that provides • On-board USB JTAG emulation (no
instant access to peripherals and pins external emulator required)
comes with the Piccolo line of devices. • Numerous sample labs to get you
Start developing for just U.S. $39. started immediately
• Everything you need to work with the • Full software and hardware
48-pin Piccolo TMS320F28027 documentation
• Access to all Piccolo control peripherals
through header pins

Texas Instruments 2Q 2009 Embedded Processing Guide


84 TMS320C2000™ Microcontrollers for Real-Time Control
 Tools/Software
C2000™ Microcontroller Platform Hardware and Software Development Tools
Name Device Part # Description $U.S.1
controlSTICK™
controlSTICK2 F28027 (Piccolo™) TMDX28027USB controlSTICK USB evaluation tool 39
controlCARDs™
DIM100 controlCARDs F28027 (Piccolo) TMDXCNCD28027 F28027 controlCARD 49
F28044 TMDSCNCD28044 F28044 controlCARD 50
F2808 TMDSCNCD2808 F2808 controlCARD 59
F28335 (Delfino™) TMDSCNCD28335 F28335 controlCARD 69
C28343 (Delfino) TMDXCNDC28343 C28343 controlCARD 109
DIM168 controlCARDs C28346 (Delfino) TMDXCNDC28346-168 C28346 168-pin controlCARD 125
Starter Kits
Experimenter’s Kits2 F28027 (Piccolo) TMDXDOCK28027 F28027 Experimenter’s Kit. Includes power supply, Code Composer Studio™ 79
(with on-board emulation) (CCStudio) IDE, USB cable, controlCARD and docking station
F2808 TMDSDOCK2808 F2808 Experimenter’s Kit. Includes power supply, CCStudio IDE, controlCARD 89
and docking station
F28335 (Delfino) TMDSDOCK28335 F28335 Experimenter’s Kit. Includes power supply, CCStudio IDE, controlCARD 99
and docking station
Experimenter’s Kits2 C28343 (Delfino) TMDXDOCK28343 C28343 Experimenter’s Kit. Includes power supply, CCStudio IDE, 159
(external emulator required) DIM100 controlCARD and docking station
C28346 (Delfino) TMDXDOCK28346-168 C28346 Experimenter’s Kit. Includes power supply, CCStudio IDE, 189
DIM168 controlCARD and docking station
Peripheral Explorer Kit F28335 (Delfino) TMDSPREX28 F28335 Peripheral Explorer Kit. Includes power supply, CCStudio IDE, 179
controlCARD and peripheral explorer baseboard
Digital Power Experimenter’s Kit2 F2808 TMDSDCDC2KIT Two independent channels digital power board with F2808 controlCARD* 229
Digital Power Developer’s Kit2 F28044 TMDSDCDC8KIT Eight independent channels digital power board with F28044 controlCARD* 325
AC/DC Developer’s Kit2 F2808 TMDSACDCKIT Phase-shifted full bridge with power factor correction digitally controlled AC/DC 695
conversion board with F2808 controlCARD*
Resonant DC/DC Developer’s Kit2 F2808 TMDSRESDCKIT Single-transformer LLC resonant DC/DC EVM with F2808 controlCARD. 229
This kit does NOT require an external JTAG emulator.
Renewable Energy Developer’s Kit2 F2808 TMDSENRGYKIT F2808 controlCARD-based DC/AC inverter EVM with battery charging 349
and management*

Description Part # $U.S.1


JTAG Emulators
JTAGjet-TMS-C2000 USB Emulator for Windows www.signum.com 595
Blackhawk USB2000 Controller TMDSEMU200U 299
XDS510 USB-Based Emulator TMDSEMUUSB 1,995
Spectrum Digital XDS510LC USB JTAG Emulator www.spectrumdigital.com 249
Software Development Tools
C2000 DSP Code Composer Studio Development Tools Bundled with Annual Software Subscription TMDSCCS2000-1 495
Supports TMS320C24x™ and TMS320C28x™ MCU products
Code Composer Studio Platinum Edition Development Tools bundled with Annual Software Subscription TMDSCCSALL-1 3,595
Supports C6000™, C5000™, C2000, DaVinci™ and OMAP™ processor platforms
C2000 MCU Code Composer Studio Development Tools Annual Software Subscription TMDSSUB2000 495
Code Composer Studio IDE Free Evaluation Tools SPRC119 Free
Includes C6000, C5000, C2000, DaVinci and OMAP processor CCStudio 120-Day Free Evaluation Tools3 www.ti.com/freetools
TMS320C2000 Flash Programming Utilities www.ti.com/c2000flashtools Free
TMS320C2000 Digital Motor Control Software www.ti.com/c2000appsw Free
TMS320C2000 Digital Power Software www.ti.com/dpslib Free
TMS320C2000 Signal Processing Libraries www.ti.com/c2000sigproclib Free
1Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing infor-
mation from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
2Includes 32-KB limited Code Composer Studio IDE.
3Includes full-featured Code Composer Studio Development Tools, code generation tools (C/C++ compiler/assembler/linker), emulator and simulator configurations all limited to 120 days. Alternative

Development Tools are available from third parties such as EWA Blackhawk DSP (www.blackhawk-dsp.com), Spectrum Digital (www.spectrumdigital.com), Technosoft (www.technosoft.ch) and
Softronics (www.softronx.com).
*External emulator required.
Please see the tools features matrix on page 59 for more details.

Texas Instruments 2Q 2009 Embedded Processing Guide


TMS320C2000™ Microcontrollers for Real-Time Control 85


Complementary Power Management Products
Power Management Products for the C2000™ Microcontroller Platform
Get samples, data sheets, Evaluation Modules (EVMs) and app reports at: power.ti.com

Suggested Texas Instruments Power Management Solutions for TMS320C2000* Microcontrollers


Core and I/O Voltages
Synchronous Non-Synchronous Synchronous Non-Synchronous Non-Isolated Isolated Dual-
Input Controller Controller Integrated FET Integrated FET Power Power Output Multiple-Output
Voltage LDO (External FETs) (External FET and Diode) Converter Converter Module Module Controller Converter
3.3 V TPS73701 TPS40041 TPS64200 TPS54110 MC33063 PTH04070 TPS62420
5V TPS73701 TPS40042 TPS40200 TPS54110 TPS40222 PTH04070 TPS75003 TPS54386
12 V TLV1117 TPS40190 TPS40200 TPS62110 TPS5410 PTH08080 TPS5120 TPS54386
24 V LM317 TPS40057 TPS40200† TPS5410† PTN78000† PTB78560† TPS54386
48 V TPS40061 PTMA403033†
†Due to Vout/Vin ratio core voltage may have to be stepped down from 3.3-V I/O. *Piccolo™ only requires a single-rail LDO.
I/O supply 3.3 V, current up to 500 mA. Core supply down to 1.8 V, current up to 1 A.

Example C2000 Microcontroller Power Supply Design

Power Options for TMS320F2802x/3x Microcontrollers

OUT

OUT

For additional power supply designs for TI Microcontrollers, please visit www.ti.com/processorpower.
Note: The TI power devices recommended here are based on standard operating conditions. System designers should use device power estimation tools in conjunction with overall application level
power requirements to ensure an adequate power supply design is used.

Texas Instruments 2Q 2009 Embedded Processing Guide


86 MSP430 Ultra-Low Power Microcontrollers
 Silicon
MSP430 Microcontrollers
Ultra-Low Power, Easy-to-Use, 16-Bit RISC Microcontrollers

Get samples, data sheets, tools and app reports at: www.ti.com/msp430

Key Applications Analog integration: ADC10/12, 16-bit sigma delta, 12-bit DAC, op amps
Digital peripherals: 16×16/32×32 multiplier, DMA
• Low-power wireless applications Communications: USB, UART, I2C, SPI, LIN, IrDA

• Consumer electronics F551x


• Utility metering F552x
100+ devices F56xx
• Intelligent sensing and control 2xx
• 16 MIPS F51x2 F66xx

• Portable medical and instrumentation • 120KB Flash CC430

Performance
F541x The New Generation
• 8-KB RAM
5xx–6xx
• Security systems • 500-nA standby
F543x • 25 MIPS
• 1.8–3.6 V
• 256KB Flash
• 16-KB RAM
Key Features • 1.8–3.6 V
• USB, RF
• 16-bit RISC CPU enables new appli- F/CG461x • 6xx: LCD Controller
• 165-µA/MHz
cations at a fraction of the code size 75+ devices 100+ devices
1xx 4xx: LCD
• Ultra-low power architecture and • 8 MIPS • 16 MIPS
• 60KB Flash • 120KB Flash
flexible clock system extends • 10-KB RAM • 8-KB RAM
• 1.8–3.6 V • LCD Controller,
battery life: 160 Segments
• 1.8–3.6 V
• 0.1µA RAM retention
Integration
• <1µA real-time clock mode
MSP430 roadmap
• Down to 165 µA/MIPS
• Increased performance
High-
• Up to 25 MHz Clocks, Power
Management
CPU/
Memory
RF
Transceiver
Timing &
Control
Performance
Analog Communication
I/O &
Display
• 1.8V ISP Flash erase and write Unified Clock Packet General- Universal General-
System Flash RAM Handler Purpose ADC Serial Purpose I/O
• Fail-safe, flexible clocking system Digital RSSI
Timers
Capture/
Communication Pull-Up,
Supply Interfaces Pull-Down,
Carrier
• User-defined bootstrap loader Supervisors
RISC CPU DMA Sense
Compare
PWM Comparators
SPI, USART,
I2C
Drive
16-Bit Controller PQI/LQI Outputs
• Up to 1-MB linear memory Supply
Monitors
CCA
Segment
Enhanced System Sub-1 GHz
addressing Brownout Eumulation Control/ Radio Basic Timer
AES LCD Static,
Muxed
Module Watchdog + RTC
• Innovative features CPU
Interface
JTAG
• Multi-channel DMA supports data Spy-Bi-Wire
Interface Modem
movement in standby mode
Frequency
• Industry-leading code density Synthesizer

• More design options including RF/Analog


TX & RX
USB, RF, LCD interface
• Integrated intelligent peripherals CC430 — high integration reduces complexity, lets designers do more with less.
including a wide range of high- www.ti.com/cc430
performance analog and digital
peripherals offload the CPU • MSP430x1xx – Flash/ROM-based FLL + SVS along with an integrated
• Easy to get started: Complete MCUs offering 1.8V to 3.6V operation, LCD controller. Ideal for low-power
development tools starting at only up to 60 kB, 8 MIPS and a wide range metering and medical applications.
U.S. $20 with integrated development of peripherals. • MSP430F5xx/6xx – New Flash-based
environments available free of charge • MSP430F2xx – Flash-based family microcontroller family featuring the low-
Coming Soon: All New CC430 featuring even lower power and up to est power consumption and perform-
RF System-on-Chip 16 MIPS with 1.8V to 3.6V operation. ance up to 25 MIPS. It offers a wide
• Integrates MSP430F5xx with CC1101 Additional enhancements include ±1% operating voltage range from 1.8V to
• High analog performance/integration on-chip very-low-power oscillator, inter- 3.6V. Features include an innovative
• Ease of development nal pull-up/pull-down resistors and low- power management module for optimiz-
• High RF sensitivity and blocking pin-count options. ing power consumption, an internally
• Flexible data rate and RF modulation • MSP430x4xx – Flash/ROM-based controlled voltage regulator, integrated
formats devices offering 1.8V to 3.6V operation, LDC driver on select devices and a wide
up to 120-kB Flash/ROM, 16 MIPS with range of memory options up to 256 kB.

Texas Instruments 2Q 2009 Embedded Processing Guide


MSP430 Ultra-Low Power Microcontrollers 87


Ultra-Low Power, 16-Bit RISC
16-Bit RISC CPU 15 0
RO/PC Program Counter
• Large register file eliminates registers and the power of a RISC. The R1/SP Stack Pointer
accumulator bottleneck modern design of the CPU offers versatility R2/SR/CG1 Status
• Optimized for C and assembler using only 27 easy-to-understand instruc- R3/CG2 Constant Generator
programming tions and seven consistent addressing R4 General Purpose
• Compact core design reduces power modes. This results in a 16-bit low-power
and cost CPU that has more effective processing, is
• Up to 16 MIPS of performance available smaller-sized and more code-efficient R15 General Purpose
than other microcontrollers. Develop new
The MSP430 MCU’s orthogonal architec-
ultra-low power, high-performance appli- The MSP430 CPU core with sixteen 16-bit
ture provides the flexibility of 16 fully registers, 27 core instructions and seven
cations at a fraction of the code size.
addressable, single-cycle 16-bit CPU addressing modes results in higher processing
efficiency and code density.

Ultra-Low Power Performance

The MSP430 was designed to provide data and 16-/20-bit address buses mini- Ultra-Low Power Checklist:
industry-leading ultra-low power perform- mizes power consuming fetches to memo- • Multiple operating modes
ance. A flexible clocking system, multiple ry and a fast vectored-interrupt structure • 0.1µA RAM retention
operating modes and zero-power always- reduces the need for wasteful CPU soft- • <1µA real-time clock mode
on brown-out reset (BOR) are implement- ware flag polling. Intelligent hardware • Down to 165 µA/MIPS
ed to reduce power consumption and dra- peripheral features were also designed to • Instant-on stable high-speed clock
matically extend battery life. The MSP430 allow tasks to be completed more effi- • 1.8V to 3.6V operation
BOR function is always active, even in all ciently and independent of the CPU. Many • Zero-power BOR
low-power modes to ensure the most reli- MSP430 customers have developed • <50-nA pin leakage
able performance possible. The MSP430 battery-based products that will last for • CPU that minimizes CPU cycles per task
CPU architecture with 16 registers, 16-bit over 10 years from the original battery! • Low-power peripheral options

Flexible Clock System

• Low-frequency auxiliary clock for ultra- used by the high-speed peripherals. By use 16-bit RISC CPU high performance in
low power stand-by mode design, the DCO is active and stable in very short burst intervals. This results in
• High-speed master clock for high- 1µs (F2xx) or < 6µs (x1xx, x4xx, F5xx). very high performance and ultra-low
performance processing MSP430 device-based solutions efficiently power consumption.
• Stability over time and temperature
The MSP430 MCU clock system is
designed specifically for battery-powered Very-Low Power
applications. Multiple oscillators are uti- Oscillator (VLO) ACLK 32 kHz
Up to 20 kHz
lized to support event-driven burst activity.
32.768 kHz
A low-frequency Auxiliary Clock (ACLK) is
fCrystal
driven directly from a common 32-kHz Low-Power Peripherals
watch crystal or the internal very-low-
power oscillator (VLO) – with no additional
Control
external components.The ACLK can be MCLK 100 kHz – 16 MHz
used for a background real-time clock self Digitally
wake-up function. An integrated high- Controlled
speed digitally controlled oscillator (DCO) Oscillator CPU and Peripherals
can source the master clock (MCLK) used
by the CPU and sub-main clock (SMCLK) Multiple oscillator clock system

Texas Instruments 2Q 2009 Embedded Processing Guide


88 MSP430 Ultra-Low Power Microcontrollers
 Silicon

Ultra-Low Power Flash-Based F2xx MCU Family Featuring Lower Power and Up to 16 MIPS* with 1.8–3.6V Operation – Enha
16-bit RISC MSP430 MCUs are the
industry’s lowest-power solution fea- Program SRAM 16-Bit Timers2
turing a wide range of integrated (F) Flash (KB) (B) I/O A B Watchdog
peripherals for 8- to 16-bit battery- F20xx
powered measurement applications. MSP430F2001 1 128 10 2 — 
MSP430F2011 2 128 10 2 — 
• Zero-power Brown Out Reset (BOR)
MSP430F2002 1 128 10 2 — 
• 1µs clock startup
MSP430F2012 2 128 10 2 — 
• <50-nA pin leakage
MSP430F2003 1 128 10 2 — 
Device Configuration MSP430F2013 2 128 10 2 — 
• 1KB to 256KB Flash F21xx
• Up to 16KB RAM MSP430F2101 1 128 16 3, 2 — 
• 14- to 100-pin options MSP430F2111 2 128 16 3, 2 — 
Integrated Peripherals MSP430F2121 4 256 16 3, 2 — 
MSP430F2131 8 256 16 3, 2 — 
• 10-/12-bit SAR ADC
MSP430F2112 2 256 22 3, 2 — 
• 16-bit Sigma Delta ADC
MSP430F2122 4 512 22 3, 2 — 
• 12-bit DAC
MSP430F2132 8 512 22 3, 2 — 
• Comparator
F22x2
• LCD driver
MSP430F2232 8 512 32 3 3 
• Supply voltage supervisor (SVS) MSP430F2252 16 512 32 3 3 
• Op-amps MSP430F2272 32 1024 32 3 3 
• 16-bit and 8-bit timers F22x4
• Watchdog timer MSP430F2234 8 512 32 3 3 
• UART/LIN MSP430F2254 16 512 32 3 3 
• I2C MSP430F2274 32 1024 32 3 3 
• SPI F23x0
• IrDA MSP430F2330 8 1024 32 3 3 
• Hardware multiplier MSP430F2350 16 2048 32 3 3 
• DMA controller MSP430F2370 32 2048 32 3 3 
• Temperature sensor F23x
• USB MSP430F233 8 1024 48 3 3 
• LDO/PMM MSP430F235 16 2048 48 3 3 
F24x/2410
MSP430 Flash Emulation Tools
MSP430F247 32 4096 48 3 7 
• JTAG-based real-time in-system
MSP430F248 48 4096 48 3 7 
debugging and programming MSP430F249 60 2048 48 3 7 
• CD-ROM includes IDE, assembler, MSP430F2410 56 4096 48 3 7 
linker, simulator and C compiler F24x1
MSP430F2471 32 4096 48 3 7 
The Flash Emulation Tool (FET) supports
MSP430F2481 48 4096 48 3 7 
complete in-system development and MSP430F2491 60 2048 48 3 7 
is available for all MSP430 Flash devices. F241x
Programming, assembler/C source- MSP430F2416 92 4096 48/64 3 7 
level debug, single stepping, multiple MSP430F2417 92 8192 48/64 3 7 
hardware breakpoints, full-speed oper- MSP430F2418 116 8192 48/64 3 7 
ation and peripheral access are all fully MSP430F2419 120 4096 48/64 3 7 
supported in systems using JTAG. F261x
MSP430F2616 92 4096 48/64 3 7 
The eZ430-F2013 USB development MSP430F2617 92 8192 48/64 3 7 
tool is available for only U.S. $20 and MSP430F2618 116 8192 48/64 3 7 
includes all the software and hardware MSP430F2619 120 4096 48/64 3 7 
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers
you need to start your MSP430 design. are advised to obtain the most current and complete pricing information from TI prior to placing orders. TI may verify final
pricing prior to accepting any order.
* See www.ti.com/msp430 for additional information.

Texas Instruments 2Q 2009 Embedded Processing Guide


MSP430 Ultra-Low Power Microcontrollers 89


Silicon
ancements Include ±1% On-Chip Very-Low-Power Oscillator, Internal Pull-Up/Pull-Down Resistors and Low Pin-Count Options
USCI3
Ch A:
USI4: UART/LIN/ Ch B: MPY Temp ADC Additional 1-KU
BOR SVS I 2C/SPI IrDA/SPI I2C/SPI DMA (16×16) Comp_A+ Sensor Ch/Res Features Packages Price1

 — — — — — —  — slope — 14 PW, N, 16 RSA 0.55


 — — — — — —  — slope — 14 PW, N, 16 RSA 0.65
 —  — — — — —  8ch, ADC10 — 14 PW, N, 16 RSA 0.80
 —  — — — — —  8ch, ADC10 — 14 PW, N, 16 RSA 0.95
 —  — — — — —  4ch, SD16 — 14 PW, N, 16 RSA 1.20
 —  — — — — —  4ch, SD16 — 14 PW, N, 16 RSA 1.35

 — — — — — —  — slope — 20 DGV, DW, PW, 24 RGE 0.75


 — — — — — —  — slope — 20 DGV, DW, PW, 24 RGE 0.80
 — — — — — —  — slope — 20 DGV, DW, PW, 24 RGE 1.10
 — — — — — —  — slope — 20 DGV, DW, PW, 24 RGE 1.40
 — — 1 1 — —   8ch, ADC10 — 28 PW, 32 RHB 1.55
 — — 1 1 — —   8ch, ADC10 — 28 PW, 32 RHB 1.65
 — — 1 1 — —   8ch, ADC10 — 28 PW, 32 RHB 1.75

 — — 1 1 — — —  12ch, ADC10 — 38 DA, 40 RHA 1.95


 — — 1 1 — — —  12ch, ADC10 — 38 DA, 40 RHA 2.20
 — — 1 1 — — —  12ch, ADC10 — 38 DA, 40 RHA 2.50

 — — 1 1 — — —  12ch, ADC10 (2) OPAMP 38 DA, 40 RHA 2.15


 — — 1 1 — — —  12ch, ADC10 (2) OPAMP 38 DA, 40 RHA 2.40
 — — 1 1 — — —  12ch, ADC10 (2) OPAMP 38 DA, 40 RHA 2.70

 — — 1 1 —   — slope — 40 RHA 1.85


 — — 1 1 —   — slope — 40 RHA 2.15
 — — 1 1 —   — slope — 40 RHA, 49 YFF 2.55

  — 1 1 —    8ch, ADC12 — 64 PM, 64 RGC 2.40


  — 1 1 —    8ch, ADC12 — 64 PM, 64 RGC 2.90

  — 2 2 —    8ch, ADC12 — 64 PM, 64 RGC 4.05


  — 2 2 —    8ch, ADC12 — 64 PM, 64 RGC 4.60
  — 2 2 —    8ch, ADC12 — 64 PM, 64 RGC 4.75
  — 2 2 —    8ch, ADC12 — 64 PM, 64 RGC 4.85

  — 2 2 —   — slope — 64 PM, 64 RGC 3.70


  — 2 2 —   — slope — 64 PM, 64 RGC 4.25
  — 2 2 —   — slope — 64 PM, 64 RGC 4.40

  — 2 2 —    8ch, ADC12 — 64 PM, 80 PN, 113 ZQW 5.60


  — 2 2 —    8ch, ADC12 — 64 PM, 80 PN, 113 ZQW 6.10
  — 2 2 —    8ch, ADC12 — 64 PM, 80 PN, 113 ZQW 6.40
  — 2 2 —    8ch, ADC12 — 64 PM, 80 PN, 113 ZQW 6.10

  — 2 2     8ch, ADC12 (2) DAC12 64 PM, 80 PN, 113 ZQW 7.10


  — 2 2     8ch, ADC12 (2) DAC12 64 PM, 80 PN, 113 ZQW 7.60
  — 2 2     8ch, ADC12 (2) DAC12 64 PM, 80 PN, 113 ZQW 7.90
  — 2 2     8ch, ADC12 (2) DAC12 64 PM, 80 PN, 113 ZQW 7.60
2 Represents number of capture/compare registers and PWM output generators per timer. New products are listed in red.
3 USCI channel 1 supports UART/LIN, IrDA and SPI; USCI channel 2 supports I2C and SPI.
4 USI supports I2C or SPI.

Texas Instruments 2Q 2009 Embedded Processing Guide


90 MSP430 Ultra-Low Power Microcontrollers
 Silicon
Flash/ROM-Based x1xx MCU Family Offering 1.8–3.6V Operation, Up to 60 kB, 8 MIPS* and a Wide Range of Peripherals
USART:
(C) ROM Program SRAM 16-Bit Timers2 (UART/ MPY Temp ADC Additional 1-KU
(F) Flash (KB) (B) I/O A B Watchdog BOR SVS SPI) DMA (16×16) Comp_A Sensor Ch/Res Features Packages Price1
x11x1
MSP430F1101A 1 128 14 3 —  — — — — —  — slope — 20 DGV, DW, PW, 24 RGE 0.99
MSP430C1101 1 128 14 3 —  — — — — —  — slope — 20 DW, PW, 24 RGE 1.25
MSP430F1111A 2 128 14 3 —  — — — — —  — slope — 20 DGV, DW, PW, 24 RGE 1.35
MSP430C1111 2 128 14 3 —  — — — — —  — slope — 20 DW, PW, 24 RGE 1.10
MSP430F1121A 4 256 14 3 —  — — — — —  — slope — 20 DGV, DW, PW, 24 RGE 1.70
MSP430C1121 4 256 14 3 —  — — — — —  — slope — 20 DW, PW, 24 RGE 2.15
F11x2
MSP430F1122 4 256 14 3 —   — — — — —  5ch, ADC10 — 20 DW, PW, 32 RHB 2.00
MSP430F1132 8 256 14 3 —   — — — — —  5ch, ADC10 — 20 DW, PW, 32 RHB 2.25
F12x
MSP430F122 4 256 22 3 —  — — 1 — —  — slope — 28 DW, PW, 32 RHB 2.15
MSP430F123 8 256 22 3 —  — — 1 — —  — slope — 28 DW, PW, 32 RHB 2.30
F12x2
MSP430F1222 4 256 22 3 —   — 1 — — —  8ch, ADC10 — 28 DW, PW, 32 RHB 2.40
MSP430F1232 8 256 22 3 —   — 1 — — —  8ch, ADC10 — 28 DW, PW, 32 RHB 2.50
F13x
MSP430F133 8 256 48 3 3  — — 1 — —   8ch, ADC12 — 64 PM, PAG, RTD 3.00
MSP430F135 16 512 48 3 3  — — 1 — —   8ch, ADC12 — 64 PM, PAG, RTD 3.60
F13x1
MSP430C1331 8 256 48 3 3  — — 1 — —  — slope — 64 PM, RTD 2.00
MSP430C1351 16 512 48 3 3  — — 1 — —  — slope — 64 PM, RTD 2.30
F14x
MSP430F147 32 1024 48 3 7  — — 2 —    8ch, ADC12 — 64 PM, PAG, RTD 5.05
MSP430F148 48 2048 48 3 7  — — 2 —    8ch, ADC12 — 64 PM, PAG, RTD 5.75
MSP430F149 60 2048 48 3 7  — — 2 —    8ch, ADC12 — 64 PM, PAG, RTD 6.05
F14x1
MSP430F1471 32 1024 48 3 7  — — 2 —   — slope — 64 PM, RTD 4.60
MSP430F1481 48 2048 48 3 7  — — 2 —   — slope — 64 PM, RTD 5.30
MSP430F1491 60 2048 48 3 7  — — 2 —   — slope — 64 PM, RTD 5.60
F15x
MSP430F155 16 512 48 3 3    1 with I2C  —   8ch, ADC12 (2) DAC12 64 PM, RTD 4.95
MSP430F156 24 1024 48 3 3    1 with I2C  —   8ch, ADC12 (2) DAC12 64 PM, RTD 5.55
MSP430F157 32 1024 48 3 3    1 with I2C  —   8ch, ADC12 (2) DAC12 64 PM, RTD 5.85
F16x1
MSP430F167 32 1024 48 3 7    2 with I2C     8ch, ADC12 (2) DAC12 64 PM, RTD 6.75
MSP430F168 48 2048 48 3 7    2 with I2C     8ch, ADC12 (2) DAC12 64 PM, RTD 7.45
MSP430F169 60 2048 48 3 7    2 with I2C     8ch, ADC12 (2) DAC12 64 PM, RTD 7.95
MSP430F1610 32 5120 48 3 7    2 with I2C     8ch, ADC12 (2) DAC12 64 PM, RTD 8.25
MSP430F1611 48 10240 48 3 7    2 with I2C     8ch, ADC12 (2) DAC12 64 PM, RTD 8.65
MSP430F1612 55 5120 48 3 7    2 with I2C     8ch, ADC12 (2) DAC12 64 PM, RTD 8.95
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the most current and complete pricing information from TI prior
to placing orders. TI may verify final pricing prior to accepting any order.
2 Represents number of capture/compare registers and PWM output generators per timer.
*See www.ti.com/msp430 for additional information.

Texas Instruments 2Q 2009 Embedded Processing Guide


MSP430 Ultra-Low Power Microcontrollers 91


Silicon
Flash/ROM-Based x4xx MCU Family Offering 1.8–3.6V Operation, Up to 120 kB Flash/ROM, 8 MIPS with FLL + SVS and Integrated LCD Controller*
USCI
16-Bit Watchdog Ch A:
(C) ROM Program SRAM Timers3 and Basic USART: UART/LIN/ Ch B: LCD MPY Temp ADC Additional 1-KU
(F) Flash (KB) (B) I/O A B Timer BOR SVS (UART/SPI) IrDA/SPI I2C/SPI Segments DMA (16×16) Comp_A Sensor Ch/Res Features Package(s) Price1
x41x
MSP430F412 4 256 48 3 —    — — — 96 — —  — slope — 64 PM, RTD 2.60
MSP430C412 4 256 48 3 —    — — — 96 — —  — slope — 64 PM, RTD 1.90
MSP430F413 8 256 48 3 —    — — — 96 — —  — slope — 64 PM, RTD 2.95
MSP430C413 8 256 48 3 —    — — — 96 — —  — slope — 64 PM, RTD 2.10
MSP430F415 16 512 48 3,5 —    — — — 96 — —  — slope — 64 PM, RTD 3.40
MSP430F417 32 1024 48 3,5 —    — — — 96 — —  — slope — 64 PM, RTD 3.90
F41x2
MSP430F4152 16 512 56 2 —    — 1 1 144 — —  — 8ch, ADC10 — 64 PM, 48 RGZ 1.70
MSP430F4132 8 512 56 2 —    — 1 1 144 — —  — 8ch, ADC10 — 64 PM, 48 RGZ 1.90
F42x
MSP430F423 8 256 14 3 —    1 — — 128 —  —  (3) SD16 — 64 PM 4.55
MSP430F425 16 512 14 3 —    1 — — 128 —  —  (3) SD16 — 64 PM 5.05
MSP430F427 32 1024 14 3 —    1 — — 128 —  —  (3) SD16 — 64 PM 5.45
FW42x
MSP430FW423 8 256 48 3, 5 —    — — — 96 — —  — slope Flow-meter 64 PM 3.75
MSP430FW425 16 512 48 3, 5 —    — — — 96 — —  — slope Flow-meter 64 PM 4.05
MSP430FW427 32 1024 48 3, 5 —    — — — 96 — —  — slope Flow-meter 64 PM 4.45
FE42xx
MSP430FE423 8 256 14 3 —    1 — — 128 —  —  (3) SD16 E-meter 64 PM 4.90
MSP430FE425 16 512 14 3 —    1 — — 128 —  —  (3) SD16 E-meter 64 PM 5.40
MSP430FE427 32 1024 14 3 —    1 — — 128 —  —  (3) SD16 E-meter 64 PM 5.95
MSP430FE4232 8 256 14 3 —    1 — — 128 —  —  (2) SD16 E-meter 64 PM 3.50
MSP430FE4242 12 512 14 3 —    1 — — 128 —  —  (2) SD16 E-meter 64 PM 3.70
F42x0
MSP430F4250 16 256 32 3 —   — — — — 56 — — —  5ch, SD16 DAC12 48 DL, RGZ 3.10
MSP430F4260 24 256 32 3 —   — — — — 56 — — —  5ch, SD16 DAC12 48 DL, RGZ 3.45
MSP430F4270 32 256 32 3 —   — — — — 56 — — —  5ch, SD16 DAC12 48 DL, RGZ 3.80
FG42x0
MSP430FG4250 16 256 32 3 —   — — — — 56 — — —  5ch, SD16 DAC12, (2) OPAMP 48 DL, RGZ 3.35
MSP430FG4260 24 256 32 3 —   — — — — 56 — — —  5ch, SD16 DAC12, (2) OPAMP 48 DL, RGZ 3.70
MSP430FG4270 32 256 32 3 —   — — — — 56 — — —  5ch, SD16 DAC12, (2) OPAMP 48 DL, RGZ 4.05
F43x
MSP430F435 16 512 48 3 3    1 — — 128/160 — —   8ch, ADC12 — 80 PN, 100 PZ 4.45
MSP430F436 24 1024 48 3 3    1 — — 128/160 — —   8ch, ADC12 — 80 PN, 100 PZ 4.70
MSP430F437 32 1024 48 3 3    1 — — 128/160 — —   8ch, ADC12 — 80 PN, 100 PZ 4.90
F43x1
MSP430F4351 16 512 48 3 3    1 — — 128/160 — —   slope — 80 PN, 100 PZ 4.05
MSP430F4361 24 1024 48 3 3    1 — — 128/160 — —   slope — 80 PN, 100 PZ 4.30
MSP430F4371 32 1024 48 3 3    1 — — 128/160 — —   slope — 80 PN, 100 PZ 4.50
FG43x
MSP430FG437 32 1024 48 3 3    1 — — 128  —   12ch, ADC12 (2) DAC12, (3) OPAMP 80 PN 6.50
MSP430FG438 48 2048 48 3 3    1 — — 128  —   12ch, ADC12 (2) DAC12, (3) OPAMP 80 PN 7.35
MSP430FG439 60 2048 48 3 3    1 — — 128  —   12ch, ADC12 (2) DAC12, (3) OPAMP 80 PN 7.95
F44x
MSP430F447 32 1024 48 3 7    2 — — 160 —    8ch, ADC12 — 100 PZ 5.75
MSP430F448 48 2048 48 3 7    2 — — 160 —    8ch, ADC12 — 100 PZ 6.50
MSP430F449 60 2048 48 3 7    2 — — 160 —    8ch, ADC12 — 100 PZ 7.05
xG461x
MSP430FG4616 92 4096 80 3 7    1 1 1 160     12ch, ADC12 (2) DAC12, (3) OPAMP 100 PZ, 113 ZQW 9.45
MSP430FG4617 92 8192 80 3 7    1 1 1 160     12ch, ADC12 (2) DAC12, (3) OPAMP 100 PZ, 113 ZQW 9.95
MSP430FG4618 116 8192 80 3 7    1 1 1 160     12ch, ADC12 (2) DAC12, (3) OPAMP 100 PZ, 113 ZQW 10.35
MSP430FG4619 120 4096 80 3 7    1 1 1 160     12ch, ADC12 (2) DAC12, (3) OPAMP 100 PZ, 113 ZQW 9.95
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the Preview products are listed in bold blue.
most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order. 2 Up to 16 MIPS.
3 Represents number of capture/compare registers and PWM output generators per timer.
*See www.ti.com/msp430 for additional information. Continued on the following page.

Texas Instruments 2Q 2009 Embedded Processing Guide


92 MSP430 Ultra-Low Power Microcontrollers
 Silicon
Flash/ROM-Based x4xx MCU Family Offering 1.8–3.6V Operation, Up to 120 kB Flash/ROM, 8 MIPS with FLL + SVS and Integrated LCD Controller (Cont’d)*
USCI
16-Bit Watchdog Ch A:
(C) ROM Program SRAM Timers3 and Basic USART: UART/LIN/ Ch B: LCD MPY Temp ADC Additional 1-KU
(F) Flash (KB) (B) I/O A B Timer BOR SVS (UART/SPI) IrDA/SPI I2C/SPI Segments DMA (16×16) Comp_A Sensor Ch/Res Features Package(s) Price1
xG461x (Continued)
MSP430CG4616 92 4096 80 3 7    1 1 1 160     12ch, ADC12 (2) DAC12, (3) OPAMP 100 PZ 7.65
MSP430CG4617 92 8192 80 3 7    1 1 1 160     12ch, ADC12 (2) DAC12, (3) OPAMP 100 PZ 8.05
MSP430CG4618 116 8192 80 3 7    1 1 1 160     12ch, ADC12 (2) DAC12, (3) OPAMP 100 PZ 8.40
MSP430CG4619 120 4096 80 3 7    1 1 1 160     12ch, ADC12 (2) DAC12, (3) OPAMP 100 PZ 8.05
F47xx
MSP430F47832 48 2480 72 3 3 WDT+   — 2 2 160 — 32×32   (3) SD16 — 100 PZ 7.50
MSP430F47932 60 2560 72 3 3 WDT+   — 2 2 160 — 32×32   (3) SD16 — 100 PZ 8.05
MSP430F47842 48 2048 72 3 3 WDT+   — 2 2 160 — 32×32   (4) SD16 — 100 PZ 8.00
MSP430F47942 60 2560 72 3 3 WDT+   — 2 2 160 — 32×32   (4) SD16 — 100 PZ 8.55
F471xx
MSP430F471662 92 4096 72 3 3    — 2 2 160  32×32  — (6) SD16 RTC 100 PZ TBD
MSP430F471762 92 8192 72 3 3    — 2 2 160  32×32  — (6) SD16 RTC 100 PZ TBD
MSP430F471862 116 8192 72 3 3    — 2 2 160  32×32  — (6) SD16 RTC 100 PZ TBD
MSP430F471962 120 4096 72 3 3    — 2 2 160  32×32  — (6) SD16 RTC 100 PZ TBD
MSP430F471672 92 4096 72 3 3    — 2 2 160  32×32  — (7) SD16 RTC 100 PZ TBD
MSP430F471772 92 8192 72 3 3    — 2 2 160  32×32  — (7) SD16 RTC 100 PZ TBD
MSP430F471872 116 8192 72 3 3    — 2 2 160  32×32  — (7) SD16 RTC 100 PZ TBD
MSP430F471972 120 4096 72 3 3    — 2 2 160  32×32  — (7) SD16 RTC 100 PZ TBD
FG47x
MSP430FG477 32 2 KB 48 3 3    — 2 2 128 — —  — (1) SD16 DAC12, (2) OPAMP 80 PN, 113 ZQW 5.50
MSP430FG478 48 2 KB 48 3 3    — 2 2 128 — —  — (1) SD16 DAC12, (2) OPAMP 80 PN, 113 ZQW 5.65
MSP430FG479 60 2 KB 48 3 3    — 2 2 128 — —  — (1) SD16 DAC12, (2) OPAMP 80 PN, 113 ZQW 6.25
F47x
MSP430F477 32 2 KB 48 3 3    — 2 2 128 — —  — (1) SD16 DAC12 80 PN, 113 ZQW 4.70
MSP430F478 48 2 KB 48 3 3    — 2 2 128 — —  — (1) SD16 DAC12 80 PN, 113 ZQW 5.20
MSP430F479 60 2 KB 48 3 3    — 2 2 128 — —  — (1) SD16 DAC12 80 PN, 113 ZQW 5.75
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the New products are listed in red.
most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
2 Up to 16 MIPS.
3 Represents number of capture/compare registers and PWM output generators per timer.
*See www.ti.com/msp430 for additional information.

Flash-Based F5xx MCU Family Features Lowest Power Consumption, Up to 25 MIPS* with 1.8–3.6V Operation Starting at 12 MIPS
USCI
16-Bit PMM (BOR, Ch A:
Program SRAM Timers4 Watchdog SVS, SVM, UART/LIN/ Ch B: MPY Temp ADC Additional 1-KU
(F) Flash (KB) (KB) I/O A B and RTC LDO) IrDA/SPI I2C/SPI DMA (32×32) Comp_B Sensor Ch/Res Features Package(s) Price1
MSP430F5514 64 4 + 22 48 5,3,3 7   2 2     16 ch ADC12 A USB, 25 MIPS 64 RGC, 80 ZQE 3.25
MSP430F5515 64 4 + 22 63 5,3,3 7   2 2     16 ch ADC12 A USB, 25 MIPS 80 PN 3.35
MSP430F5524 64 4 + 22 48 5,3,3 7   2 2     16 ch ADC12 A USB, 25 MIPS 64 RGC, 80 ZQE 3.55
MSP430F5525 64 4 + 22 63 5,3,3 7   2 2     16 ch ADC12 A USB, 25 MIPS 80 PN 3.70
MSP430F5526 96 6 + 22 48 5,3,3 7   2 2     16 ch ADC12 A USB, 25 MIPS 64 RGC, 80 ZQE 3.75
MSP430F5527 96 6 + 22 63 5,3,3 7   2 2     16 ch ADC12 A USB, 25 MIPS 80 PN 3.90
MSP430F5528 128 8 + 22 48 5,3,3 7   2 2     16 ch ADC12 A USB, 25 MIPS 64 RGC, 80 ZQE 3.95
MSP430F5529 128 8 + 22 63 5,3,3 7   2 2     16 ch ADC12 A USB, 25 MIPS 80 PN 4.10
MSP430F54183 128 16 64 5,3 7   2 2   —  16 ch ADC12 A 18 MIPS 80 PN 3.30
MSP430F54193 128 16 83 5,3 7   4 4   —  16 ch ADC12 A 18 MIPS 100 PZ, 113 ZQW 3.65
MSP430F54353 192 16 64 5,3 7   2 2   —  16 ch ADC12 A 18 MIPS 80 PN 3.90
MSP430F54363 192 16 83 5,3 7   4 4   —  16 ch ADC12 A 18 MIPS 100 PZ, 113 ZQW 4.30
MSP430F54373 256 16 64 5,3 7   2 2   —  16 ch ADC12 A 18 MIPS 80 PN 4.40
MSP430F54383 256 16 83 5,3 7   4 4   —  16 ch ADC12 A 18 MIPS 100 PZ, 113 ZQW 4.85
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are advised to obtain the Preview products are listed in blue.
most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
2 Additional SRAM avalible if USB is disabled.
3 Revision A with 25 MIPS available in 4Q09.
4 Represents number of capture/compare registers and PWM output generators per timer.
*See www.ti.com/msp430 for additional information.

Texas Instruments 2Q 2009 Embedded Processing Guide


MSP430 Ultra-Low Power Microcontrollers 93


Silicon
Intelligent Peripherals
With purely software-driven functions, the achieve the highest performance. MSP430 application-specific features and less on
CPU is 100% active and consuming device peripherals are designed to require basic data handling. Lower-cost systems
power. Effectively utilizing peripherals the least amount of software service. can be implemented using less software
allows the CPU to be turned off to save Additional hardware features allow CPU and lower power.
power or work on other activities to resources to focus more on differentiated

Peripheral Overview

ADC10/ADC12—The ADC10/12 module sup- I/O—MSP430 devices have up to 12 digital I/O SVS—The supply voltage supervisor (SVS) is a
ports fast, >200ksps, 10-bit or 12-bit analog-to- ports implemented. Each port has eight I/O pins. configurable module used to monitor the AVCC
digital conversions. The module features a 10- or Every I/O pin is configurable for input or output supply voltage or an external voltage. The SVS
12-bit SAR core with 5, 8 or 12 input channels, direction, and can be individually read or written can be configured to set a flag or generate a POR
sample select control, 1.5/2.5V reference gener- to. Ports P1 and P2 have interrupt capability. reset when the supply voltage or external voltage
ator and internal temperature sensor. ADC10 fea- MSP430F2xx, 5xx and some 4xx devices feature drops below a user-selected threshold.
tures a data transfer controller (DTC) and ADC12 built-in individually configurable pull-up or pull- Timer_A/Timer_B—Timer_A and Timer_B are
features a 16-word conversion-and-control down resistors. asynchronous 16-bit timer/counters with up to
buffer. These added features allow samples to be LCD/LCD_A—The LCD/LCD_A controller directly seven capture/compare registers and four oper-
converted and stored without CPU intervention. drives LCD displays with automatic signal gener- ating modes. The timers support multiple capture/
BOR—The brown-out reset (BOR) circuit detects ation for up to 160 segments. The MSP430 LCD compares, PWM outputs and interval timing and
low supply voltages and reset circuit resets the controller can support static, 2-mux, 3-mux and also have extensive interrupt capabilities.
device by triggering a POR signal when power is 4-mux LCDs. The LCD_A module includes an USART—The universal synchronous/asynchronous
applied or removed. MSP430’s zero-power BOR integrated charge pump for contrast control. receive/transmit (USART) peripheral interface
circuit is continuously turned on, including in all MPY—The hardware multiplier module supports supports asynchronous RS-232 and synchronous
low-power modes. 8/16-bit × 8/16-bit signed and unsigned multiply SPI communication with one hardware module.
Comparator_A/Comparator_A+—The with optional “multiply and accumulate” function- The MSP430F15x and MSP430F16x USART
Comparator_A/A+ module supports precision ality. It is a peripheral which does not interfere module also supports I2C. The module supports
slope analog-to-digital conversions, supply volt- with CPU activities and can be accessed by the programmable baud rate and independent inter-
age supervision and monitoring of external analog DMA. The MPY on new F47xx and F5xx devices rupt capability for receive and transmit.
signals for accurate voltage and resistor value features up to 32×32-bit operation. USB—The USB module is fully compliant with
measurement. The module features a selectable OpAmp—The MSP430 integrated operational the USB 2.0 specification and supports control,
reference voltage generator and input multiplexer. amplifiers feature single-supply, low-current oper- interrupt and bulk transfers at 12-Mbps data rate
(Comp A+) ation with rail-to-rail outputs and programmable (full speed). The module supports USB suspend,
DAC12—The DAC12 module is a 12-bit, voltage settling times. Internal, programmable feedback resume and remote wakeup operations and can
output DAC featuring internal or external refer- resistors and connections between multiple op be configured for up to eight input and eight out-
ence selection, programmable settling time for amps allow for a variety of software-selectable put endpoints. The module includes an integrated
optimal power consumption and can be configured configuration options including: unity gain mode, physical interface (PHY), a phase locked loop
in 8-bit or 12-bit mode. When multiple DAC12 comparator mode, inverting PGA, non-inverting (PLL) for USB clock generation, and a flexible
modules are present, they may be grouped PGA, differential and instrumentation amplifier. power supply system enabling bus-powered and
together for synchronous update operation. Real-Time Clock / Basic Timer—The BT has self-powered devices.
DMA—The direct memory access (DMA) two independent 8-bit timers which can be cas- USCI—The universal serial communication inter-
controller transfers data from one address to caded to form a 16-bit timer/counter. Both timers face (USCI) module features two independent
another, without CPU intervention, across the can be read and written by software. The BT is channels which can be used simultaneously. The
entire address range. The DMA increases the extended to provide an integrated RTC. An inter- asynchronous channel (USCI_A) supports UART
throughput of peripheral modules and reduces nal calendar compensates for months with less mode, SPI mode, pulse shaping for IrDA and
system power consumption. The module features than 31 days and includes leap year correction. automatic baud rate detection for LIN communi-
up to three independent transfer channels. SCAN IF—The Scan IF module is a programma- cations. The synchronous channel (USCI_B) sup-
ESP430 (integrated in FE42x devices)—The ble state machine with analog front end used to ports I2C and SPI modes.
ESP430CE1 module incorporates the SD16, automatically measure linear or rotational motion USI—The universal serial interface (USI) module
hardware multiplier and ESP430 embedded with the lowest possible power consumption. The is a synchronous serial communication interface
processor engine for single-phase energy meter- module features support for different types of LC with a data length of up to 16 bits and can sup-
ing applications. The module performs metering and resistive sensors and for quadrature encoding. port SPI and I2C communication with minimal
calculations independent of the CPU. SD16/SD16_A—The SD16/SD16_A module software.
FLASH—The MSP430 Flash memory is bit-, features up to three 16-bit sigma-delta A/D con- Watchdog+—The WDT+ performs a controlled
byte- and word-addressable and programmable. verters with an internal 1.2V reference. Each has system restart after a software problem occurs. If
The main memory segment size is 512 Bytes. up to eight fully differential multiplexed inputs the selected time interval expires, a system reset
Each MSP430 also has up to 256 Bytes of Flash including a built-in temperature sensor. The con- is generated. If the watchdog function is not
Information Memory for EEPROM emulation. verters are second-order oversampling sigma- needed in an application, the module can be con-
Flash can be read, erased and written (100,000 delta modulators with selectable oversampling figured as an interval timer and can generate
cycles) through the JTAG debugging interface, the ratios of up to 1024 (SD16_A) or 256 (SD16). interrupts at selected time intervals.
Bootstrap Loader and in system.

Texas Instruments 2Q 2009 Embedded Processing Guide


94 MSP430 Ultra-Low Power Microcontrollers
 Tools
Development Kits
Contents Part Number Packages Supported Price1
USB FET programming tools and target board MSP-FET430U14 14-pin PW (TSSOP) 149
MSP-FET430U28 20-/28-pin PW (TSSOP) 149
MSP-FET430U38 38-pin DA (TSSOP) 149
MSP-FET430U23x0 MSP430F23x0 40-pin DHA (QFN) 149
MSP-FET430U48 48-pin DL (SSOP) 149
MSP-FET430U64 64-pin PM (LQFP) 149
MSP-FET430U80 80-pin PN (LQFP) 149
MSP-FET430U100 100-pin PZ (LQFP) 149
MSP-FET430U5x100 MSP430F5xx 100-pin PZ (TQFP) 149
MSP-FET430U80USB 80-pin PN (LQFP) 149
Target board only MSP-TS430PM64 64-pin PM (LQFP) 75
MSP-TS430PZ5x100 MSP430F5xx 100-pin PZ (TQFP) 75
MSP-TS430PN80USB 80-pin PN (LQFP) 75
eZ430 Tools
Part Number Contents Included Devices Price1
eZ430-F2013 Programmer and target board MSP430F2013 20
eZ430-F2012 3 target boards MSP430F2012 10
eZ430-RF2500 Interface, (2) target boards, battery board MSP430F2274, CC2500 49
eZ430-RF2500T Target board, battery board MSP430F2274, CC2500 20
eZ430-RF2500-SEH Interface, (2) target boards, battery board, solar energy harvesting board MSP430F2274, CC2500 149
eZ430-RF2480 Interface, (3) target boards, 2 battery boards MSP430F2274, CC2480 99
Experimenter Boards
Part Number Board Features Included Devices Price1
MSP-EXP430FG4618 Microphone, audio output buzzer, LCD, capacitive touch-pad, 2× push MSP430FG4618, MSP430F2013 99
buttons, prototyping space, RS-232 communication interface, RF connectivity
MSP-EXP430F5438 Socket for MSP430, 3-axis accelerometer, microphone, audio output, dot matrix MSP430F5438 149
LCD, joystick, RF connectivity, USB connectivity
Software Development Tools
Part Number Contents Include Devices Supported Price1
IAR-KICKSTART IAR Embedded Workbench Kickstart Edition (4 KB limited) All Free
MSP-CCE430 Code Composer™ Essentials Core Edition (16 KB limited) All Free
MSP-CCE430PRO Code Composer Essentials Professional (Unrestricted) All 499
Debugging and Programming Interfaces
Part Number PC Port Contents Include Devices Supported Price1
MSP-FET430UIF USB Interface only All 99
MSP-GANG430 Serial Production programmer All (8 devices at one time) 199
MSP-FET430PIF Parallel Interface only All (except MSP430F20xx) 49
1Suggested resale price per unit in U.S. dollars. New products are listed in bold red.

Texas Instruments 2Q 2009 Embedded Processing Guide


Complementary Products for the MSP430 Family 95


Complementary Analog Products
Amplifiers for MSP430 Microcontrollers
Key Feature Device Device Features
Slow mode (Low frequency signals) Ultra-low power OPA369 1.4-µA Iq (Max) RRIO, zero crossover, 12 kHz
Slow mode (Low frequency signals) Ultra-low power OPA349 2-µA Iq (Max), RRIO, 10-pA max IB, 70 kHz
Slow mode (Low frequency signals) Ultra-low power OPA379 5.5-µA Iq (Max), RRIO, 1.5-mV max offset, 90kHz
Slow mode (Low frequency signals) Ultra-low power OPA333 25-µA Iq (Max), zero drift, 1.1-uVPP noise, 350 kHz
Slow mode (Low frequency signals) Ultra-low power TLV276x 28-µA Iq (Max), 15-pA max offset, RRIO, 500 kHz
Slow mode (Low frequency signals) Programmable PGA112/113 RRIO, single supply, 2-ch MUX, easy SPI interface. Up to 200× gain with 4-µA shutdown
Medium / fast modes High performance OPA37 20 nV/Hz, 25-µV Vos, zero drift, RRIO, 0.9 MHz
Medium / fast modes High performance OPA334 5-µV Vos, shutdown, RRO, 2 MHz
Medium / fast modes High performance OPA376 25-µV Vos, 7.5 nV/Hz, 5 MHz
Medium / fast modes High performance OPA363/4 –500-µV Vos, zero crossover, RRIO, 7 MHz
Medium / fast modes High performance TLV278x RRIO, shutdown, 8 MHz
Instrumentation amplifiers Measuring very small signals INA321 60 µA, 10-pA bias, RRO, shutdown
Instrumentation amplifiers Measuring very small signals INA322 60 µA, 10-pA bias, RRO, shutdown
Instrumentation amplifiers Measuring very small signals INA331 500 µA, 10-pA bias, RRO, 2 MHz, shutdown
Instrumentation amplifiers Measuring very small signals INA332 100 µA, 10-pA bias, RRO, 2 MHz, shutdown
Instrumentation amplifiers Measuring very small signals INA333 75 µA, 25-µV offset, RRIO, zero drift

Data Converters for MSP430 Microcontrollers


Key Feature Device Device Features
Analog-to-digital converter Higher speed, precision ADS8318 16-bit, 500 kSPS, ±1 LSB INL, single supply, 95.2dB SNR @ 10 kHz, 720 µA @ 100kSPS. Performance upgrade
MSP430 on-board ADC
Analog-to-digital converter Higher speed, precision ADS8326 16-bit 250 kSPS, single supply, 74 µA @ 10kSPS & Vdd= 2.7V, ±1.5 LSB max INL, 91 dB SNR
Analog-to-digital converter Higher speed, precision ADS7886/7/8 12-/10-/8-bit, 1 MSPS, 1.5 mA and auto power down, easy speed upgrade
Analog-to-digital converter Higher speed, precision ADS7866/7/8 12-/10-/8-bit, 200 kSPS, 100 µA and auto power down, easy power upgrade
Analog-to-digital converter Higher resolution ADS1248 24-bit, dual-matched current sources, 8 GPIO, low-drift internal reference 4 ppm/°C (typ), oscillator, sensor,
burnout detect, 50-/60-Hz rejection. Great for MSP430-based temperature solutions.
Analog-to-digital converter Higher resolution ADS1232 24-bit, ultra-low noise (17 nV RMS) bridge sensor ADC, designed for weigh-scale MSP430 systems
Analog-to-digital converter Higher resolution ADS1100 16-bit, internal reference, oscillator, PGA, 90 µA (240 with ref), easily add an SD16 to MSP430
Analog-to-digital converter Higher resolution ADS1000 12-bit, low cost, 90 µA, easy interface, PGA, OSC, simple Delta Sigma addition to MSP430 systems
Digital-to-analog converter Precision DACx311/8411 Tiny, low-power 8- to 16-bit DACs with wide supply and temp ranges. <100 µA/ch., ±1 LSB INL, 5 µS settling time
Digital-to-analog converter Precision DAC7552 Small, low-power, low-glitch DAC. ±1 LSB INL (max), glitch energy: 0.1 nV-s, 200 µA/ch, 5 µS settling time
Digital-to-analog converter Precision DAC8830 Low-power, high-speed DAC, ±1 LSB INL, 6 µA typ, 1 µs max settling, low noise: 18 nV/Hz; gain drift: ±0.1 ppm/°C

Temperature Sensors for MSP430 Microcontrollers


Key Feature Device Device Features
Local TMP102 0.5°C accuracy, 10 µA active current with shutdown
Remote + local TMP400 1°C accuracy with N-factor and series resistance
Remote + local TMP421/422 Tiny SOT-23-8 1°C accuracy with N-factor and series resistance correction

Voltage References for MSP430 Microcontrollers


Key Feature Device Device Features
Highest accuracy REF32xx 4 ppm/°C, 0.2% accuracy, 100 µA, SOT23-6 voltage reference
Lowest power REF33xx 3.9 µA, 0.15% initial accuracy, SC70-3, 30 ppm/°C drift

Interface Products for MSP430 Microcontrollers


Device Type Device Device Features
I/O Expanders TCA64xx 1.8V I/O expander with interrupt and reset. Great for keypad control.
I/O Expanders PCA953x 16-bit low-power bi-directional I/O expander.
Line Drivers TRS3227E 3V–5.5V single-channel RS-232 line driver/receiver with ±15-kV IEC ESD protection. A must have for remote RS-232 communication.
USB TUSB3410 Add full-speed USB connectivity to the MSP430 using the UART. App note available.
Touch-Screen Controllers TSC2004 800-µW operation with auto shutdown. I2C interface. Includes noise averaging and zone-detection preprocessing, optimal support for the
MSP430. Includes ESD protection.
Touch-Screen Controllers TSC2006 707-µW operation with auto shutdown, SPI interface. Includes noise averaging and zone-detection processing, optimal support for the
MSP430. Includes ESD protection.
Touch-Screen Controllers TSC2007 Lowest power controller, 160 µW operation. I2C interface, auto power down, 4-wire interface. Optimal for the lowest power demands.
Includes noise-filter preprocessing. Includes ESD protection.

Texas Instruments 2Q 2009 Embedded Processing Guide


96 Complementary Products for the MSP430 Family
 Complementary RF Products
MSP430 Ultra-Low Power MCUs and Low-Power RF Devices
Standard-Based Networks
• IEEE 802.15.4 The Texas Instruments portfolio of power-saving mechanisms, along with a
• ZigBee® MSP430 microcontrollers and Low-Power high-performance 16-bit CPU and inte-
RF devices are an ideal fit for low-power grated analog. Together, MSP430 and TI’s
Proprietary Networks wireless networks, including standard- Low-Power RF devices help wireless
• SimpliciTI™ Network Protocol based IEEE 802.15.4 and ZigBee® or designers achieve low power consump-
System-on-Chip Solutions other proprietary networks. The MSP430 tion, long range and reliable performance
TI also offers 8051-based System-on- product line offers the unique combination at a competitive price.
Chip solutions. For IEEE 802.15.4 and of ultra-low power consumption and
ZigBee networks, use CC2430/2431;
for sub-1 GHz use CC1110/1111 and MSP430 and Low-Power RF Selection Table
for 2.4 GHz, CC2510/2511 are Standard-Based Network Proprietary Network
recommended. IEEE 802.15.4 ZigBee® ZigBee PRO SimpliciTI™
CC2520 CC2520 with TIMAC CC2529 with TIMAC Sub-1 GHz 2.4 GHz
Getting Started with MSP430 and Z-Stack™ software CC1101/CC1150, CC102x/ CC2500/CC2550
and Low-Power RF Devices CC1070
The MSP430 Code Library for TI RF MSP430F261x MSP430F261x MSP430F261x
devices makes communicating to an MSP430F543x MSP430F543x MSP430F543x MSP430 device with >6-KB MSP430 device with >6-KB
RF device simple through a MSP430 Flash and 1-KB RAM Flash and 1-KB RAM
SPI, USART, USI, USCI or even “bit- These are recommended devices. Any MSP430 device can be used depending on Flash/RAM requirements of the software
solution.
banged” I/O port.
For more information, visit
www.ti.com/msp430_lprf. MSP430 Ultra-Low Power MCUs and TI-RFid™ Devices
The TI portfolio of MSP430 microcon- TI-RFid devices help RF designers achieve
trollers and TI-RFid devices are an ideal fit low power consumption, best-in-class
for low-power RFID reader and transpon- read range and reliable performance at a
der solutions. Together, MSP430 and competitive price.

MSP430 and TI-RFid Selection Table


Applications Access control, digital door lock, Automobile immobilizer, asset Ultra-low power data logger,
medical, POS, ticketing, tracking, access control, wireless battery-less sensor
eMetering prepayment, asset wireless payment, animal interface, multi-purpose LF
tracking, inventory control, tracking, production tracking, interface to a microcontroller,
product authentication medical remote control applications
TI-RFid TRF7960 TMS3705 LF TMS37157
TRF7961 134-KHz reader 134-KHz transponder IC
13.56-MHz reader (RTM: 3Q09)
TI MCU MSP430F2x MSP430F2x MSP430F2x
These are recommended devices. Many MSP430 and TI-RFid devices can be used depending on Flash/RAM requirements of the
software solution.

For more information visit www.ti.com/msp430_rfid.

Texas Instruments 2Q 2009 Embedded Processing Guide


Complementary Products for the MSP430 Family 97


Complementary RF Products
Low-Power RF for MSP430 Microcontrollers
Network Key Feature Device Device Features
Proprietary 2.4 GHz CC2500 Transceiver with easy SPI interface to MSP430. Low power-down (0.4 µA) and large FIFO designed to maximize
power-down time.
Proprietary 2.4 GHz CC2550 Lowest-cost transmitter with easy SPI interface to MSP430. Excellent selectivity and very low current consumption.
Proprietary Sub 1 GHz CC1101 Transceiver with easy SPI interface to MSP430. Fast PLL turn on designed for frequency hopping.
Proprietary Sub 1 GHz CC1150 Low-cost transmitter with easy SPI interface to MSP430. Fast PLL turn on designed for frequency hopping.
Zigbee® Z-Accel Network Processor CC2480 Zigbee stack and radio on one chip. Easy-to-use API with 10 calls designed to run on MSP430.
Zigbee SoC CC2430/1 Zigbee SoC with 32-MHz 8051. Use MSP430 for measurement and application processing. Industry-leading
performance and hardware location engine. Easy MSP430 interface.

Application-Specific Product Recommendations


Application MSP430 and RF Solution System-on-Chip
Alarm and security (smoke, motion, glass break detector) MSP430F20xx, MSP430F22xx CC1101/CC1150, CC1020/CC1070 CC1110/CC1111, CC251x, CC243x
Automated meter reading (AMR) for utility meters, MSP430FE42x, MSP430FW42x, CC1101/CC1150, CC1020/CC1070, CC1110/CC1111, CC251x,
advanced meter infrastructure (AMI) MSP430F41x, MSP430F2618 CC2480, CC2520 CC243x
Wireless sensor networks (monitoring, asset tracking) MSP430F20xx, MSP430F41x CC1101/CC1150, CC2500/CC2550, CC1110/CC1111, CC251x,
CC2480 CC243x, CC2520
Building automation (light, temperature, process MSP430F20x1, MSP430F21x1, CC1101/CC1150, CC2500/CC2550, CC1110/CC1111, CC251x,
control) MSP430F41x, MSP430 F2618 CC2520, CC2480 CC243x
PC peripherals MSP430F22x2, MSP430F21x1 CC2500/CC2550, CC2520 CC2430, CC251x
Home and leisure equipment MSP430F20xx, MSP430F23/24x, CC1101/CC1150, CC2500/CC2550 CC1110/CC1111, CC251x
(remote controls, gaming, toys, home electronics) MSP430F41x, MSP430F43x
Medical (non-implants) MSP430FG4xxx, MSP430F41x CC1101/CC1150, CC2500/CC2550, CC1110/CC1111, CC243x,
CC2480, CC2520 CC251x

Texas Instruments 2Q 2009 Embedded Processing Guide


98 Complementary Products for the MSP430 Family
 Complementary Power Management Products

TPS78233 TPS780330220 TPS62203 TPS62300


150 mA 150 mA 300 mA 500 mA
LDO LDO DC/DC Converter DC/DC Converter
Fixed 3.3Vout 3.3V / 2.2Vout Fixed 3.3Vout Fixed 3.3Vout

TPS61221 TPS62111 TPS63001


200 mA 1500 mA 1.2 A
Boost Converter DC/DC Converter Buck Boost
Fixed 3.3Vout Fixed 3.3Vout DC/DC Converter

Power Management Products for MSP430 Microcontrollers


Key Careabouts Device Description
Simple solution TPS78233 150 mA, ultra-low quiescent current, Iq 1µA, low-dropout linear regulator
Simple, long battery life TPS780330220 150 mA, LDO reg, ultra-low power, Iq 500 nA with pin-selectable, dual-level output voltage
High efficiency TPS62203 High efficiency, SOT-23 step-down, DC-DC converters
Tiny, high efficiency TPS62300 500-mA, 3-MHz synchronous step-down converter in chip scale packaging
Ultra-low input voltage TPS61221 Tiny, low-input (down to 0.7V) voltage boost converter
High-input voltage TPS62111 17V, 1.5-A synchronous step-down converter with shutdown current < 2 µA
Wide-input voltage range TPS63001 High-efficient single-inductor buck-boost converter with up to 96% efficiency

Texas Instruments 2Q 2009 Embedded Processing Guide


Stellaris® Family of Microcontrollers 99


Silicon
Stellaris 32-Bit ARM® Cortex™-M3 MCUs
Get samples, data sheets, tools and app reports at: www.ti.com/stellaris

Why Choose Cortex-M3? Why Choose the Stellaris


Overview Cortex-M3 is the MCU version of ARM’s V7 Family?
TI’s Stellaris is the industry’s leading instruction set architecture family of cores: Designed for serious microcontroller appli-
family of robust, real-time microcon- • Optimized for single-cycle flash usage cations, the Stellaris family provides the
trollers (MCUs) based on the revolu- • Deterministic, fast interrupt processing: entry into the industry’s strongest ecosys-
tionary Cortex™-M3 technology from always 12 cycles, or just 6 cycles with tem, with code compatibility ranging from
ARM®. The award-winning Stellaris tail chaining U.S. $1 to 1 GHz.
32-bit MCUs combine sophisticated, • Three sleep modes with clock gating for • Superior integration saves up to
flexible mixed-signal system-on-chip low power U.S $3.28 in system cost
integration with unparalleled real-time • Single-cycle multiply instruction and • Over 140 Stellaris family members to
multi-tasking capabilities. Complex hardware divide choose from
applications previously impossible • Atomic operations • Real MCU GPIOs – all can generate
with legacy MCUs can now be • ARM Thumb2 mixed 16-/32-bit interrupts, are 5V-tolerant and have
accommodated with ease by powerful, instruction set programmable drive strength and slew
cost-effective and simple-to-program • 1.25 DMIPS/MHz–better than ARM7 rate control
Stellaris MCUs. With over 140 mem- and ARM9 • Advanced communication capabilities,
bers in its family, the Stellaris family • Extra debug support including data including 10/100 Ethernet MAC/PHY,
offers the widest selection of precisely- watchpoints and flash patching USB and USB OTG and CAN controllers
compatible MCUs in the industry. • Sophisticated motion-control support in
Capabilities beyond ARM7 for the micro-
The Stellaris family is positioned for controller market: hardware and software
cost-conscious applications requiring • Requires approximately 1/2 the flash • Both analog comparators and ADC
significant control processing and (code space) of ARM7 applications functionality provide on-chip system
connectivity capabilities, including • 2–4 times faster on MCU control options to balance hardware and soft-
motion control, monitoring (remote, applications ware performance
fire/security, etc.), HVAC and building • No assembly code required – ever! • Development is easy with the royalty-
controls, power and energy monitor- free StellarisWare™ software
ing and conversion, network appli-
ances and switches, factory automa- 32

JTAG 256-KB Flash


tion, electronic point-of-sale ARM
NVIC Cortex-M3 32
machines, test and measurement 96-KB SRAM
SWD 100 MHz
equipment, medical instrumentation 32
ROM

and gaming equipment. 3 UARTs


Clocks, Reset
System Control

In addition to MCUs configured for 2 SSI/SPI Systick Timer

4 Timer/PWM/CCP
Serial Interfaces

general-purpose real-time systems, 10/100 Ethernet


MAC + PHY
Each 32-bit or 2×16-bit

IEEE 1588
the Stellaris family offers distinct
System

USB Full Speed


Host / Device / OTG GPIOs
solutions for advanced motion control
3 CAN 32-ch DMA
and energy-conversion applications, 32
EPI
2 I2C
real-time networking and real-time Precision
Oscillator
internetworking and combinations of I2S
R
T
these applications including connect- 2 Quadrature
C

Encoder Inputs
ed motion control and hard real-time
Motion Control

8 PWM Outputs

networking. Welcome to the future of


Analog

microcontrollers!
PWM PWM
Generator Interrupt

Stellaris family block diagram

Texas Instruments 2Q 2009 Embedded Processing Guide


100 Stellaris® Family of Microcontrollers
 Silicon and Tools
Memory and General-Purpose Motion
Speed Core Timer Modules Control Serial Interfaces Analog Digital
PWM ADC (10-Bit)

10/100 Ethernet MAC + PHY


Internal Precision Oscillator

LDO Voltage Regulator


Internal Temp Sensor

Analog Comparators
Digital Comparators
ADC Speed (Ksps)

Package Options
Max Speed (MHz)

Watchdog Timers
ROM S/W Library

USB Full Speed


MCUs in Series

ADC Channels
Series/Device

32-Bit Timer
16-Bit Timer

Fault Inputs

GPIOs (5 V)
SRAM (KB)
Flash (KB)

Hibernate
IEEE 1588
CAN MAC
Outputs

SSI/SPI
UART
CCP
RTC

QEI

I2C

I2S
Low-Pin-Count, Real-Time MCUs
LM3S100s 2 8 2 – 20 – 2 4 1 2  – – – – – – – 1 1 1 – – – –  2 – 18 – 28-SOIC
LM3S300s 8 16 4 – 25 – 3 6 1 6  6 1 – – – – – 2 1 1 – 8 500   3 – 36 – 48-LQFP
LM3S600s 11 32 8 – 50 – 3 6 1 6  6 1 1 – – – – 2 1 1 – 8 1000   3 – 36 – 48-LQFP
LM3S800s 9 64 8 – 50 – 3 6 1 6  6 1 1 – – – – 2 1 1 – 8 1000   3 – 36 – 48-LQFP
High-Pin-Count, Real-Time MCUs
LM3S1000s 29 256 64  50 – 4 8 1 8  8 3 2 – – – – 3 2 2 – 8 1000   3 – 60  64-LQFP,
100-LQFP,
108-BGA
Ethernet-Connected MCUs
LM3S6000s 19 256 64 – 50 – 4 8 1 6  6 1 2   – – 3 2 2 – 8 1000   3 – 46  100-LQFP,
108-BGA
USB-Connected MCUs
LM3S3000s 4 128 64  50 – 4 8 1 8  8 4 1 – – – O/H/D 3 2 2 – 8 1000   3 – 61  64-LQFP,
100-LQFP
LM3S5000s 12 256 96  80  4 8 2 8  8 4 2 – – 2 O/H/D 3 2 2  16 1000   3 7 71  64-LQFP,
100-LQFP
CAN-Connected MCUs
LM3S8000s 12 256 64 – 50 – 4 8 1 6  6 1 2   3 – 3 2 2 – 8 1000   3 – 46  100-LQFP,
108-BGA
Ethernet + CAN-Connected MCUs
LM3S8000s 12 256 64 – 50 – 4 8 1 6  6 1 2   3 – 3 2 2 – 8 1000   3 – 46  100-LQFP,
108-BGA
Ethernet USB + CAN MCUs
LM3S9000s1 6 256 96  100  4 8 2 8  8 4 2   2 O/H/D 3 2 2  16 1000   3 7 65  100-LQFP
1 Include external 32-bit peripheral interface

Product Development
We provide a range of support designed to get your applications to market faster and easier than ever before. Compact, versatile and con-
nected! Our evaluation kits provide a low-cost and effective means of evaluating our microcontrollers and getting a jump start on your design
www.ti.com/stellariskits:

Stellaris LM3S811 Stellaris LM3S1968 Stellaris LM3S2965 Stellaris LM3S3748 Stellaris LM3S6965
Evaluation Kit Evaluation Kit Evaluation Kit Evaluation Kit Evaluation Kit

Stellaris LM3S8962 Stellaris LM3S9B92 Stellaris LM3S9B90 Stellaris LM3S9B96


Evaluation Kit Evaluation Kit Evaluation Kit Development Kit

Texas Instruments 2Q 2009 Embedded Processing Guide


System Solutions 101


Audio
Audio Systems Solutions
Get additional information at: www.ti.com/audio

Audio Systems Overview digital audio techniques, TI provides sili-


Targeted Applications
Advances in digital audio technologies con, software, systems expertise and sup-
• Musical instruments
offer consumers the ability to enjoy their port focused solely on digital audio tech-
• Instrument amplifiers
audio at anytime, anywhere and on any nologies. TI currently offers a host of prod-
• Multi-track recorders
device. This new trend in audio is enabled ucts including DSPs, Class-D amplifiers,
• Synthesizers
by DSPs that offer this convenience with- A/Ds, D/As and SRCs that are designed
• Guitar effects
out any sacrifice in quality. DSP technolo- from the ground up to deliver the most
• Professional audio
gy is also at the forefront of another realistic audio experience possible at cost-
• Digital mixers
amazing trend in consumer audio prod- effective price points. Since TI solutions
• Signal processors
ucts, the multichannel listening experi- are based on programmable platforms
• Broadcast encoders
ence. Due to the rapid drop in price and flexible analog components, develop-
• DSP farms
points, consumers are enjoying music, ers have maximum flexibility in designing
• Home audio
movies and television on high-quality, products that meet the needs of the rapid-
• AV receivers
DSP-based 5.1 audio systems. ly changing digital audio landscape.
• DVD receivers
• Home audio jukeboxes Just as the consumer audio market is TI Audio Systems Benefits
• Networked audio players benefiting from advances in audio • Highest performance allows room for
• Portable audio devices technology, the professional and musical innovation with application-specific
• HDD-based MP3 players instrument markets are delivering new digital entertainment solutions
• Flash/CD-based MP3 players products that maintain the highest sonic • Programmability and scalability provide
• Wireless audio headphones quality at affordable price points. By lever- open-audio platforms for better
• Digital radio aging DSP horsepower, these markets are differentiation
giving musicians and sound engineers the • Compact form factor for cool product
Additional Resources ability to create the exact sounds designs and great sound
Audio Solutions Guide they want. • Easy-to-use, application-specific soft-
TI’s complete audio solutions offer In order to enable designers of digital ware and tools get you to market faster
best-in-class silicon, software, applica- audio products to leverage advanced
tion knowledge and support and
include a variety of flexible, cost-
efficient, high-performance analog and High-Performance Audio
Device C67x • Broadcast audio
DSP products. Design resources like Next • Commercial audio
Production C672x • High-end consumer audio
the Audio Solutions Guide provide valu- 350 MHz • Professional audio/digital mixers
Future
able technical information, including C67x™
300 MHz
system block diagrams, detailed prod-
C672x Personal Audio
uct specifications, design considera- 350 MHz • Car audio
tions and more, helping you get to ® • Digital amplifiers
Segment

C55x™ ARM +DSP


ARM+DSP
300 MHz Next • Instrument amplifiers
market fast. Download the Audio C55x • Musical instruments
Solutions Guide to see the complete C55x OMAP35x™ Next • Multitrack recorders
300 MHz • Streaming audio
spectrum of products that meet the C54x™
C55x
160 MHz Portable Audio
demands of your audio signal chain. Next • Handheld gaming
www.ti.com/audioguide. C55x OMAP35x™ • MP3 players
C54x 300 MHz • Multimedia players/
160 MHz Jukeboxes
• From < $5 to 9´ MP3 encoding • Toy audio
• From 16-bit fixed point to 32-/64-bit floating point
• From portable to multi-channel solution
Time
Audio solutions roadmap

Texas Instruments 2Q 2009 Embedded Processing Guide


102 System Solutions
 Audio
Audio Integrated Solutions
Professional Audio Development Kit (PADK) – The PADK is a For more information, contact your authorized TI distributor or visit
hardware/software solution based on the new TMS320C672x www.ti.com/padk.
floating-point DSPs. The C672x DSPs are optimized for profes-
sional audio, musical instruments, broadcast audio and
commercial audio applications.
The PADK integrates the TMS320C6727 DSP with Burr-Brown
ADCs and DACs. This board also features a host of different I/O
options and connectors. In addition to the hardware, the PADK
includes real-world audio software example code to demonstrate
C672x DSP performance and provide a good reference for devel-
opers to create customized applications. Further enhancing the
utility board is a convenient expansion slot that can allow daughter-
cards to be used to evaluate other components not featured on
the PADK.
The comprehensive PADK includes the hardware and software PADK includes hardware, software and documentation to get started
needed to quickly design differentiated pro-audio applications. immediately.

Professional Audio Development Kit Bundle (PADB) – Based on


the TMS320C672x floating-point DSPs, the new PADK bundle saves
you time and money by combining the PADK with Code Composer
Studio™ IDE Platinum and a USB Emulator. Instantly start your pro-
audio application with the PADK bundle, which includes real-world
pro-audio algorithms and software examples to demonstrate C672x
DSP performance and exercise the core’s special audio-processing
capabilities. Learn more at www.ti.com/padkbundle.

PADK Bundle for pro-audio applications.

Audio Application Notes


Application Note Web Search Literature # Application Note Web Search Literature #
Initializing the TLC32040 AIC on the TMS320C5x DSK SPRA275 Using the TMS320C672x Bootloader SPRAA69
Interfacing TMS320C5x and Parallel Stereo Codec w/ Other SPRA097 TMS320C6000 McBSP to Voice Band Audio Processor (VBAP) Interface SPRA489
TMS320™ DSP Considerations AIC27 Example for the TMS320C5510 DSP Prototype Board SPRA813
Digital Voice Echo Canceller Implementation on the TMS320C5x SPRA142 Implementation of AC-3 Decoder on TMS320C62x™ DSPs SPRA724
Decoding Convolutional and Turbo Codes in 3G Wireless White Paper SPRA878 An Audio Example Using DSP/BIOS™ Kernel SPRA598
MP3/AAC™ Player Implementation in RF3 SPRA779 Interfacing TLC320AD57 Sigma-Delta Stereo ADC (in Master Mode) SPRA090
Using the File Navigation API Function in an IACD System SPRA834 with TMS320C5x™ DSP
Electronic Shock Protection (ESP) for CD Players That Use a C54x™ DSP SPRA831 TMS320C6713 to TMS320C672x Migration Guide SPRAA78
TMS320C6201/6701 EVM: TMS320C6000™ McBSP to Multimedia Audio Codec SPRA477 How to Create Delay-Based Audio Effects on a TMS320C6727 DSP SPRAAA5
Meeting the Pro Audio Challenge White Paper SPRAAA3
Check the TI website for a complete listing of technical documentation including application notes.

Texas Instruments 2Q 2009 Embedded Processing Guide


System Solutions 103


HiRel DSPs
HiRel Defense and Aerospace Solutions
Get additional information at: www.ti.com/hirel

TI HiRel offers a vast portfolio of ICs that The DSP selection from HiRel includes
Targeted Applications
provide extended temperature, enhanced ceramic hermetic packaging and QML
• Defense
processing and packaging for aerospace, versions from all the TI DSP generations.
• Software-defined radio
defense and high-temperature applications. From the earliest TMS320C1x to the latest
• Secure communications
TI HiRel supplies a wide range of TI prod- TMS320C6000™ DSP devices, we con-
• Radar
ucts to meet rugged and extreme environ- tinue to offer the best parts for defense
• Sonar
ments. Process capabilities include QML and military applications. HiRel can sup-
• Smart munitions
Class Q and Enhanced Product (EP) for port long design and production cycles
• Communications
defense and avionics applications and and help alleviate obsolescence concerns.
• Graphics
QML Class V for space requirements. Pb-
• Image processing TI HiRel Enhanced Product offerings
lead finishes are still available for custom-
• Aerospace provide plastic packaging options for
ers needing continued support for non-
• Motor control applications that require extended
green applications. Pb solder ball replace-
• Pumps temperature, enhanced change notifica-
ment for commercial non-Pb parts can
• Braking systems tion and controlled baselines.
also be provided.
• Noise cancellation
• Sensors TMS320C6000 DSP Platform
• Imaging Fixed Point
• Security SM320C6201B HiRel Fixed-Point Digital Signal Processor
• Communications SMJ320C6201B HiRel Fixed-Point Digital Signal Processor
SMJ320C6203 HiRel Fixed-Point Digital Signal Processor
• Radar
SMJ320C6415 HiRel Fixed-Point Digital Signal Processor
• Space SM320DM642-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor
• Satellites SM320C6455-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor
• Space-based radar SM320C6414-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor
• Critical control systems SM320C6415-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor
• Launch vehicles SM320C6416-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor
SM32C6416T-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor
• Data processing SM320C6201-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor
• Imaging SM320C6202-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor
• Motor control Floating Point
• Harsh environments SMJ320C6701 HiRel Floating-Point Digital Signal Processor
• High-temperature electronics SM320C6701-EP HiRel Enhanced Product Floating-Point Digital Signal Processor
SM320C6711D-EP HiRel Enhanced Product Floating-Point Digital Signal Processor
• Downhole drilling
SM320C6712D-EP HiRel Enhanced Product Floating-Point Digital Signal Processor
• Jet engines SM320C6713B-EP HiRel Enhanced Product Floating-Point Digital Signal Processor
• Undersea communications TMS320C5000™ DSP Platform
SMJ320LC549 HiRel Fixed-Point Digital Signal Processor
SMJ320VC5416 HiRel Fixed-Point Digital Signal Processor
SM320VC5510A-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor
SM320VC5416-160-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor
SM320VC5409-EP HiRel Enhanced Product Fixed Point Digital Signal Processor
SM320VC5421-EP HiRel Enhanced Product Fixed-Point Digital Signal Processor
TMS320C2000™ Digital Signal Controller Platform
SM320F2812 HiRel Fixed-Point Digital Signal Controller
SMJ320F240 HiRel Fixed-Point Digital Signal Controller
SM320F2812-EP HiRel Enhanced Product Digital Signal Controller
SM320F2801-EP HiRel Enhanced Product Digital Signal Controller
SM320F2808-EP HiRel Enhanced Product Digital Signal Controller
SM320LF2407A-EP HiRel Enhanced Product Digital Signal Controller
Other Platforms Available in QML Ceramic
SMJ320C15, SMJ320C25, SMJ320C3x, SMJ320C40, SMJ320C50, SMJ320C80 DSPs
QML-V Space-Level DSP
SMJ320C6701-SP Rad-Tolerant Class V, Floating-Point Digital Signal Processor

Texas Instruments 2Q 2009 Embedded Processing Guide


104 System Solutions
 Industrial
Industrial Computing Solutions
Get additional information at: www.ti.com/industrial

Industrial Computing Solutions Overview


Targeted Applications
The OMAP-L1x and OMAP35x platforms of processors incorporate low power and
• Human-machine interface touch-
scalable performance enabling many industrial applications including programmable
screen panels
automation controllers, human-machine interface panels and EPOS terminals.
• Operator interface panels
• Patient monitors
• Networked displays OMAP-L1x Overview equipment, and point-of-service acces-
• Self-service kiosks The OMAP-L1x platform offers single-chip sories like signature pads, currency
• Electronic cash registers ARM®+DSP products with a rich set of readers and RFID readers.
• Portable data terminals peripherals including SPI, UART, I2C, USB
OMAP35x Overview
• Electronic point of sale 2.0, 10/100 Ethernet, parallel port, LCD
For higher-performance applications with
(EPOS) terminals display output and many more.
feature-rich GUI requirements, the OMAP35x
• EPOS accessories
The 300-MHz performance of the ARM9 applications processors incorporate the
• Digital signage
enables applications requiring either high- industry’s first ARM Cortex™-A8 CPU with
• Programmable automation controllers
level OS like Linux or Windows Embedded the TMS320C64x™ DSP and POWERVR
• Programmable logic controllers
CE (Win CE) as well as multiple real-time SGX™ graphics acceleration capabilities.
• Distributed control systems
operating systems from third parties. The Industrial end equipments like control pan-
• Industrial communications
OMAP-L1x SoC devices include the indus- els, self-service kiosks, electronic cash reg-
• Power protection
try’s lowest power fixed-/floating-point DSP, isters, etc. can take advantage of the
• Power management
the C674x DSP core. The C674x DSP OMAP35x processors supporting both
• Test equipment
combines the TMS320C64x+™ fixed- Linux and WinCE operating systems.
• Machine vision
point and TMS320C67x+ floating-point Various real-time operating systems are
For More Information DSP cores into a single core, which enables also supported on OMAP35x and are avail-
Please refer to product information for the use of high-precision signal-processing able through third-party companies.
the OMAP-L1x platform on page 42 or algorithms in combination with control
The first generation of the OMAP35x plat-
the OMAP35x platform on page 39. functions running on the ARM9 core. This
form has four pin-compatible product
high level of integration leads to reduced
options. The next generation of OMAP35x
board space and overall system cost.
processors will incorporate 10/100
OMAP-L1x products are well suited for Ethernet MAC as well as CAN controllers to
low- to mid-end programmable automa- address industrial connectivity requirements.
tion controllers, test and measurement

Device
Production
Sampling
In Development OMAP3530 • Cortex-A8 up to 600 MHz
A8+DSP+ • SDRAM/LPDDR EMIF
Future Graphics • CAN, 10/100 Ethernet
Performance/Integration

OMAP3525 • USB 2.0, SDIO


A8+C64x™ DSP
• Cortex-A8 up to 600 MHz OMAP351x
• SDRAM/LPDDR EMIF A8+3D Graphics
• USB 2.0, SDIO OMAP3515
A8+3D Graphics
8 OMAP350x
OMAP3503 Cortex-A Cortex-A8
Cortex™-A8

• Up to 300 MHz OMAP-L138


• SDRAM EMIF ARM9+C674x DSP
• Up to 300 MHz
• USB 2.0, 10/100 Ethernet
• PWM, eCAP, eQEP OMAP-L137 ARM9 • mDDR/DDR2 EMIF
ARM9+C674x DSP • USB 2.0, 10/100 Ethernet
• SATA, SDIO, uPP
• PWM, eCAP, eQEP

Time
Industrial computing solutions roadmap

Texas Instruments 2Q 2009 Embedded Processing Guide


System Solutions 105


Industrial
Digital Control Systems Solutions
Get additional information at: www.ti.com/digitalcontrol

Industrial Solutions Overview


Targeted Applications
The TMS320C2000™ Microcontroller family combines advanced control peripherals with
• Green energy
the processing power of a 32-bit core. C2000™ MCUs are ideal for embedded
• Solar inverters
industrial applications such as digital motor control, digital power supplies and intelligent
• Wind turbines
sensor applications.
• Fuel cells
• Digital power supplies
• Telecom rectifiers and complex Digital Control Overview performance for innovation, are delivered
DC/DC converters TI offers 32-bit performance and with fewer external components and
• Server rectifiers advanced peripherals that allow designers reduced system costs in amazingly small
• Industrial power supplies of digital motor control, digital power sup- packages great for space-constrained
• HDTV power supplies plies and advanced sensing systems to applications.
• DC/DC modules quickly and easily implement advanced,
• Uninterruptible power TI is focused on the digital control sys-
cost-efficient control solutions. Our broad
supplies (UPS) tems designers’ needs and is continuing
portfolio of control-optimized processors
• Lighting to develop solutions that serve the market.
provides the industry’s highest perform-
• LED billboards With more than 20 years of experience in
ance and on-chip integration to drive sys-
• Streetlight control and networking processor-based control applications and
tem cost down to unprecedented levels.
• Home appliances a worldwide team dedicated to providing
The unique combination of processing
• Washer drives progressive embedded control solutions,
power, interrupt handling capability, control-
• Compressor motor we offer systems expertise, easy-to-use
specific peripheral integration and high C
• Induction cooktops development tools, an extensive silicon
efficiency deliver substantial benefits to
• Industrial control range and unlimited support to get your
control systems. These benefits, such as
• Variable-speed AC drives product to market faster.
improved system efficiency and added
• Soft starters
• Process control
Device Delfino™ Floating-Point Series
• Servo control Production F2833x C2834x
• Stepper motor control Sampling • 150 MIPS/300 MFLOPS • 300 MIPS/600 MFLOPS
• 128–512 KB Flash • 196–516 KB SRAM
• Automotive In Development • 16-ch, 12-bit ADC • HiRes PWM, QEP Next
• HiRes PWM, QEP Generation
• Radar for blind spot detection, Future

lane departure warning and auto- C2834x


matic cruise control
• Electric power steering F282x
F2833x
Performance

• Wiper control • 150 MIPS


F281x • 128–512 KB Flash
• HVAC blowers • 150 MIPS
• 16-ch, 12-bit ADC
• HiRes PWM, QEP
• Medical • 128–256 KB Flash
• 12.5 MSPS ADC
• CPAP motor control • QEP Piccolo™ Series
F282x
• Patient transport F2803x
• Blood analysis F281x
• Metering F2802x
F280x Next
• Power line modem for electricity Generation
automated meter infrastructure F280x F2802x F2803x
• 40–60 MIPS • 60 MIPS + CLA
• 60–100 MIPS
• Flow meters • 32–256 KB Flash
• 32–64 KB Flash
• 13-ch, 12-bit ADC
• 64–128 KB Flash
• 16-ch, 12-bit ADC
• 16-ch, 12-bit ADC • HiRes PWM • HiRes PWM, QEP
• HiRes PWM, QEP • Single 3.3-V supply • Single 3.3-V supply

Code-compatible solutions scaling from 40 MHz to 300 MHz


Digital control solutions roadmap

Texas Instruments 2Q 2009 Embedded Processing Guide


106 System Solutions
 Industrial
Digital Motor Control Systems Solutions
Get additional information at: www.ti.com/motorcontrol

Digital Motor Control Overview their needs. To fully capitalize on the Studio™ Integrated Development
TMS320C2000™ microcontrollers reduce capabilities of the latest advancements in Environment. Each is built upon our foun-
the overall cost of motor control systems motor designs and control techniques, dational motor control library software
by providing the integration and perform- engineers are turning their focus to modules and includes complete documen-
ance necessary to implement advanced C2000™ microcontrollers. tation with step-by-step instructions to
control techniques such as sensorless vec- guide engineers through the process of
TI provides a free library of motor control
tor control of three-phase motors. Using bringing up a motor control system. Each
software systems that are tailored to vari-
the more processor-intensive sinusoidal system comes with complete C/C++
ous control techniques and motor types.
control, for example, allows developers to source code.
Each of these systems is a complete
reduce the size and cost of the motors
project developed for the Code Composer
and power electronics required to meet

Motor-Specific Software Solutions www.ti.com/c2000appsw and www.ti.com/c2000sigproclib


System Motor Type Sensored Sensorless Description C24x™ Controller C28x™ Controller
Tacho I/P
ACI1-1 1 ph AC Induction • VHz / sinePWM/ closed loop (CL) speed PID •
Tacho I/P
ACI3-1 3 ph AC Induction • VHz / sinePWM / CL speed PID • •
MRAS (speed estimator)
ACI3-2 3 ph AC Induction • VHz / sinePWM / CL speed PID • •
Tacho I/P
ACI3-3 3 ph AC Induction • FOC / sinePWM / CL current PID for D, Q / • •
CL speed PID
Direct flux estimator + speed estimator
ACI3-4 3 ph AC Induction • FOC / sinePWM / CL current PID for D, Q / • •
CL speed PID
QEP
3 ph Permanent
PMSM3-1
Magnet Synch • FOC / sinePWM / CL current PID for D, Q / • •
CL speed PID
SMO (sliding mode observer) position estimator
3 ph Permanent
PMSM3-2
Magnet Synch • FOC / sinePWM / CL current PID for D, Q / • •
CL speed PID
3 ph Permanent Resolver / FOC / CL current PID for D, Q /
PMSM3-3
Magnet Synch • CL speed PID •
3 ph Permanent
PMSM3-4
Magnet Synch • QEP / FOC / position control •
3 ph Trapezoidal 3 Hall effect I/P
BLDC3-1
Brushless DC • Trapezoidal / CL loop current PID / CL speed PID • •
3 ph Trapezoidal BEMF / Zero crossing detection
BLDC3-2
Brushless DC • Trapezoidal / CL loop current PID / CL speed PID • •
DCMOTOR Brushed DC • Speed & position / QEP without index •
Digital Motor
Control Library
All Motor Types • • Component modules for motor-specific applications • •
Motor-specific software downloads available today, free of charge, that allow designers to develop solutions for both sensored and sensorless control
systems.

Texas Instruments 2Q 2009 Embedded Processing Guide


System Solutions 107


Industrial
Digital Power Systems Solutions
Get additional information at: www.ti.com/c2000dps

Digital Power Overview rather than dedicated components. A equipment. The C2000 controllers connect
Digital control of power conversion sys- software-based solution enables intelligent easily with TI’s UCD7000 series of digital
tems results in lower overall cost due to monitoring of load conditions in real time power drivers to interface with the actual
the consolidation of functions into a single and can lead to improved system reliabili- power stage.
programmable controller in place of dedi- ty, efficiency and operating costs.
TI provides a free library of power conver-
cated discrete components. A single
C2000™ microcontrollers provide both sion software systems that are tailored to
TMS320C2000™ microcontroller can
isolated and non-isolated solutions from different power conversion topologies,
provide full loop control at over 2-MHz
AC-line to point-of-load and are suitable including DC/DC buck and phase-shifted
switching frequencies or control multiple
for applications such as uninterruptible full-bridge, along with power factor
output levels and simplify the sequencing
power supplies, servers, telecom- correction modules.
of multiple supplies through software
munications, solar inverters and industrial

TMS320C280xx Digital Power Software Solutions


System Description Part Number
DC-DC Buck Converter DC-DC buck converter using high-resolution ePWM SPRC229
High-Resolution ePWM Demonstrates HRPWM capabilities for digital power applications SPRC227
Standard ePWM Demonstrates ePWM capabilities for digital power applications SPRC228
Power Factor Correction Power factor correction software SPRC307
DC-AC Single-Phase Inverter DC-AC single-phase inverter software SPRC303
Phase-Shifted Full-Bridge DC/DC Phase-shifted full-bridge DC/DC software SPRC311

VAC VRECT IPRI VBOOST

VOUT

PWM1 PWM7
Filter

T1 T2
PWM PWM
PWM2 PWM8

Diode Diode
IPFC VOUTPUT
Clamp Clamp

IphA IphB

Microcontroller
PWM
ADC

TMS320F28xx
Micro-
COMMS

controller CAN Bus


I/O

or PM Bus

Telecom rectifier (DC-DC converter) example

Texas Instruments 2Q 2009 Embedded Processing Guide


108 System Solutions
 Industrial
Power Line Communications Systems Solutions
Get additional information at: www.ti.com/c2000plc

Power Line Communications applications such as electricity metering, 5 kbps without crossing an isolation trans-
Overview office lighting automation and factory former (contact your local TI salesperson).
Power Line Communications (PLC) trans- automation because their performance of For a higher speed and commercially-
mits data over an existing high-voltage up to 150 MIPS, large on-chip memory hardened system, we recommend our
power line instead of requiring dedicated and integrated peripheral interfaces pro- partners at Adaptive Networks, Inc.
cabling. PLC can thus provide an inexpen- vide a single-chip solution for the PLC as (www.adaptivenetworks.com). Adaptive
sive solution for transmitting data in a well as additional control functions. Networks deploys systems that provide up
pre-wired location. to 100-kbps throughput and offer a range
TI has developed a freely-available PLC
of several kilometers.
TMS320C2000™ microcontrollers are an software library and hardware reference
ideal platform for power-line networked design for data throughput speeds up to

Power Line Communications Technical Documentation


Application Note Web Search Literature #
TMS320C2000 Microcontroller Power Line Communication SPRU714
User’s Guide
Check the TI website for a complete listing of technical documentation including
application notes.

Power
Line

TM
C28x Microcontroller
ADC

CAN
I 2C
UART
Product
Power SPI
PWM Functionality
Stage McBSP
GPIO
PWM
ADC

Power line communications implementation

Texas Instruments 2Q 2009 Embedded Processing Guide


System Solutions 109


Industrial
Solar Inverter Systems Solutions
Get additional information at: www.ti.com/solar

Solar Inverter Overview for electrical utilities, commercial buildings running at a maximum frequency of
There is an emerging concern for future and individual residences. 150 MHz – effectively executes the very
access to energy at the worldwide level. precise algorithms required to operate the
The inverter is a critical part of the entire
Alternative solutions to fossil fuels have panels at their maximum power point, and
solar energy system. It performs the con-
been investigated and are moving to fully thus ensure the highest efficiency of the
version of the variable DC voltage output
industrialized production processes in power conversion, even in the most
of the PV cells into a clean, sinusoidal 50-
regions across the globe. severe and variable conditions. The drive
or 60-Hz current suitable for supplying the
of the main bridge of the DC/AC converter
One of the most widespread alternative commercial electrical grid or local
is performed by the highly flexible PWM
energy sources is solar, and many innova- electrical networks.
modules of the C2000™ devices, and is
tive companies have decided to focus
The TMS320C2000™ microcontroller combined with the use of the on-chip,
their research and development, as well
family is the best response to the real- high-speed 12-bit ADC, to perform the
as manufacturing activities, on the delivery
time challenges of the many possible current and voltage regulation required to
of photo-voltaic (PV) systems. This
implementations of solar inverters. The achieve the most regular sinusoidal
includes high-performance solar inverters
32-bit CPU of the TMS320C28x™ core – waveform.

AC Grid

Power Stage

PWM
• Cell voltage
DC-DC
• Cell temperature Conversion
• Ambient temperature DC-AC
A/D Converter

Conversion
•Metering counter DC-DC
Conversion

Metering
Housekeeping
Control Functions

CAN /
C2000 Microcontroller Serial Software

Communications
Solar inverter example

Texas Instruments 2Q 2009 Embedded Processing Guide


110 System Solutions
 Medical
Low-Power Medical Processor Solutions
Get additional information at: www.ti.com/medical

Medical Diagnostics and Patient Monitoring Overview


Targeted Applications
• Diagnostic, patient monitoring and TI’s complete end equipment solutions for Low-power ARM®-based general-
therapy medical applications leverage our product purpose processors are extremely useful
• Electrocardiogram (ECG/portable expertise in microcontrollers, Digital Signal in this aspect. TI has several ARM-based
ECG/heart-rate monitor) Processors (DSPs), SoCs (System-on-Chip solutions in this area, including members
• Electroencephalogram (EEG) devices) and high-performance analog for of the TMS320DM3x, OMAP-L1x and
• Fetal monitoring consumer medical and diagnostic patient OMAP35x platforms. Furthermore, DSP-
• Remote (wired and wireless) monitoring systems. based solutions, such as C55x™ DSPs,
patient monitoring have an extensive peripheral set and can
Digital signal processing technology enables
• Data logging perform significant user control and inter-
medical manufacturers to develop cost-
• Blood oxygen (pulse oximetry) and action for portable systems without the
effective, state-of-the-art diagnostic and
other blood gas analyzers need of an ARM-based processor.
patient-monitoring instruments based on
• Digital stethoscopes
advanced DSP algorithms and bring these The ever-increasing need to minimize
• Automated external defibrillator
products to market quickly. Signal- healthcare costs is driving remote patient
(AED) and defibrillators
processing algorithms are used for signal monitoring and telemedicine. These com-
• CPAP and BIPAP therapy devices
conditioning, performing measurements, plex yet portable systems need both ARM
• Ventilation/respiration
running analytics on measurements to and DSP processing. For reduced form
• Point-of-care testing
determine the health condition and graph- factor and lower power, it is desirable to
TI Medical Solutions Benefits ical display of this measured data. Being have a single-chip solution which has
• Programmable solution accommo- able to perform such processing at low these cores. OMAP™ and DaVinci™
dates customer’s ever-changing IP power is increasingly important for a vari- architectures employ this dual-core
in medical algorithm implementation ety of portable and small-factor medical architecture enabling optimized system
and drives faster time to market and consumer devices. Low-power partitioning and performance.
• High performance at low power TMS320C55x™ DSP-based SoC devices
With TI’s extensive portfolio and roadmap,
enables portable medical applications provide the processing performance and
customers have the freedom to choose an
• Higher integration and extensive peripherals to support such low-power
ARM-only, DSP-only or ARM+DSP solu-
peripheral support reduces applications. For processing-intensive
tion. TI also provides an extensive set of
system cost applications, TMS320DM644x DSPs pro-
analog, low-power wireless and connectivity
• Extensive roadmap with ARM®-only, vide the processing performance required.
solutions to complement the low-power
ARM-based GPP and DSP and DSP-
User control and interaction is becoming medical processors for portable remote
only architectures creates scalability
more and more important as medical patient-monitoring applications.
and better differentiation
devices become increasingly sophisticated.

• Up to 600-MHz DSP processor


• Up to 300-MHz ARM9 processor
• Video acceleration
DaVinci™
Increasing DSP Performance

DM644x
• Up to 600-MHz ARM Cortex™-A8
• Up to 450-MHz TMS320C64x+™ DSP
• Extensive power management, vector
ce

floating point, GFX


an
M ® rm

OMAP35x • Patient monitoring systems, AED


AR rfo

P
DS
th Pe

+ • 270-MHz ARM9 or 600-MHz Cortex-A8


wi SP

R M • Very low power


eA
tD

c DM3x • Lower cost


es

an OMAP-L1x • Patient monitoring systems, AED


gh

o r m OMAP35x
erf
Hi

GPP
g h-P -B ased • Based on TMS320C55x™ DSP
Hi ARM • Extensive power management
C55x™ • AED, pulse oximetry, digital
C5000™
C6000™ Low-Power DSP stethoscopes, ECG, EEG, patient
ARM monitoring, audiology

Low-power medical processor families

Texas Instruments 2Q 2009 Embedded Processing Guide


System Solutions 111


Medical Imaging
Medical Imaging Solutions
Get additional information at: www.ti.com/medical

Medical Imaging Systems Overview


Targeted Applications
• Ultrasound Advances in imaging technologies have TI’s SoC solutions utilizing embedded
• Digital x-ray led to extraordinarily powerful medical high-performance DSP and ARM® proces-
• Magnetic resonance imaging (MRI) imaging equipment, yielding faster and sors enable smaller end-product foot
• Bone densitometer more accurate diagnosis and treatment. prints and reduce system boot times while
• Endoscopy This advancement is aided by high- enabling image processing, high-level
• Hyperspectral imaging performance DSPs. TI’s high-performance operating systems, user interface and
• Optical coherence tomography (OCT) DSP cores are ideal for meeting real-time control functions at low power for
• Medical digital video recorder (DVR) requirements in imaging modalities such extended battery life.
• Computed tomography (CT) as ultrasound, while the high-bandwidth
TI provides complete signal chain solu-
• Positron emission tomography (PET) interfaces and ability to connect multiple
tions for your medical imaging needs. In
DSPs together ensure that there will be
Additional Information addition to DSPs, TI’s medical product
enough processing power available in your
For more information on TI compo- portfolio includes amplifiers, clocks, data
medical-imaging system. As the algo-
nents that might be useful in your converters, temperature sensors, interface
rithms continue to advance, TI’s program-
application, download TI’s Medical logic, microcontrollers, power management
mable platforms allow for quick adoption
Applications Guide (SLYB108B) at and RF ICs.
and speed time to market.
www.ti.com/medical.
TI Medical Imaging Solutions Benefits
DSP technology is also at the forefront of
• High-performance DSPs enable latest
another amazing trend in medical imaging
imaging algorithms while allowing room
products: the trend to go portable. As doc-
for product differentiation
tors and care givers endeavor to reach
• Programmable solution and range of
more people, creating smaller, more
software-compatible processors pro-
portable medical equipment is a must. TI’s
vides scaleable imaging platforms,
OMAP™ and DaVinci™ architectures are
saving redesign
designed for low-power, portable applica-
• Broad range of high-performance cores
tions enabling medical imaging equip-
and highly integrated SoCs
ment, such as hand-carried ultrasound
• Easy-to-use software and tools get you
units, to be powerful yet power efficient.
to market faster

Software Compatible
High Performance

Multi-Core High-Performance
Next Imaging
Device
• Diagnostic ultrasound
Production • Digital x-ray
Sampling • MRI, CT, PET
• Back-end imaging
In Development C6474 C64x™
3× 1 GHz Next
Imaging Segment

Future
C6457
1 GHz
s Portable Imaging
P C6455
DS 1.2 GHz System
Core C6452 • Diagnostic imaging
lti- C6455 900 MHz
• Portable ultrasound
Mu 1 GHz DM64x™
Next • Medical DVR
SPs • Endoscope
or eD DM648
Portable

g le-C DM6446
OMAP3530
Sin DM6437
hip s • Performance leadership
on-C • Best-in-class development tools
y s t em- Cs) • Code compatibility
S (So
• Technology innovators

Time
Medical imaging processor families

Texas Instruments 2Q 2009 Embedded Processing Guide


112 System Solutions
 Portable Medical
Portable Medical Solutions
Get additional information at: www.ti.com/medical

Portable Medical Systems Overview


Targeted Applications
• Blood glucose meter As portability becomes a growing trend in TI Portable Medical Solutions Features
• Digital thermometer medical products, manufacturers are • Complete signal chain integrated on chip
• Heart-rate monitor seeking technologies that reduce the • 16-bit Sigma-Delta ADC for applications
• Pulse oximeters design complexity and time in developing that require high-resolution signal
• Environmental sensors the finished product. In most of these conversion
• Industrial sensors medical equipments, the actual physiolog- • 128-segment LCD driver with contrast
• Handheld meters ical signals are analog and require signal control for convenient diagnostic display
conditioning techniques, such as amplifi- • Flexibility from multiple memory options
Additional Information
cation and filtering, before they can be • Enhanced portability with ultra-low power
The new MSP430FG47x MCUs provide
measured, monitored or displayed. The consumption of the MSP430 MCUs,
ultra-low power, high performance and
MSP430 microcontroller offers a platform extending battery life to 20+ years
analog peripherals for efficient devel-
of ultra-low power processors with the • Integrated intelligent peripherals on
opment of low-cost medical and sen-
high integration of the complete signal MSP430 MCUs provide high perform-
sor applications. The FG47x MCUs
chain that is required for applications such ance and consume no power when not
offer on-chip integration of the com-
as personal blood pressure monitors, in operation
plete signal chain, reducing design
spirometers, pulsoximeters and heart-rate • Two package options to meet various
complexity and resulting in significant
monitors. printed circuit board (PCB) requirements
space and cost savings. These devices
will help developers improve the quality MSP430 Devices Optimized for Portable Medical
and accessibility of healthcare through Part Number Description
products such as blood glucose MSP430FG477 16-bit ultra-low power MCU, 32-KB Flash, 2-KB RAM, 16-bit Sigma-Delta A/D, (2) 12-bit D/A, OpAmp,
128-seg LCD
meters, digital thermometers, pulse
MSP430FG478 16-bit ultra-low power MCU, 48-KB Flash, 2-KB RAM, 16-bit Sigma-Delta A/D, (2) 12-bit D/A, OpAmp,
oximeters and blood pressure/heart 128-seg LCD
rate monitors. The F47x MCUs are also MSP430FG479 16-bit ultra-low power MCU, 60-KB Flash, 2-KB RAM, 16-bit Sigma-Delta A/D, (2) 12-bit D/A, OpAmp,
available to support key applications in 128-seg LCD
the industrial, consumer and other MSP430F477 16-bit, ultra-low power MCU, 32-KB Flash, 2-KB RAM, 16-bit Sigma-Delta A/D, (1) 12-bit D/A, 128-seg LCD
general-purpose areas. MSP430F478 16-bit, ultra-low power MCU, 48-KB Flash, 2-KB RAM, 16-bit Sigma-Delta A/D, (1) 12-bit D/A, 128-seg LCD
MSP430F479 16-bit, ultra-low power MCU, 60-KB Flash, 2 KB RAM, 16-bit Sigma-Delta A/D, (1) 12-bit D/A, 128-seg LCD
TI’s full range of embedded processing FG47x MCUs are available and fully compatible with the MSP-FET430U100 and the MSP-FET430UIF flash emulation tool kits,
and analog solutions help designers speeding development and time to market. See all MSP430 Development Tools at www.ti.com/msp430tools.
create more flexible, affordable and
MSP430FG47x/47x Microcontroller
accessible medical devices. These Memory Power and
Clocking
solutions offer consumers improved 32/48/60 kB Flash

diagnostics through integration and 2 kB RAM


FLL+:
LFXT1CLK DCO
increased intelligence, multi-function Debug
XT2CLK FLL

devices that simplify treatment, and JTAG w/2 breakpoints


Embedded emulation Brownout reset
enhanced portability for easier Boot strap loader Supply volt supervisor

monitoring and treatment of chronic Peripherals Timers


conditions. Comparator A 15-bit watch dog timer
2x Op amps (FG47x only)
16-bit basic timer
RTC
For more information, visit 128-segment LCD driver 16-bit timer A3, with
3 CC registers
www.ti.com/430medical. Serial Interface
16-bit timer B3, with
2 x USCI_A
( UART/SPI/lrDA) 3 CC w/
shadow registers
2 x USCI_B
(I2C/SPI) Connectivity
Converters
48 I/Os
16-bit Sigma Delta A/D converter A
Up to 2x 12-bit D/A converter

MSP430FG47x/47x microcontroller block diagram

Texas Instruments 2Q 2009 Embedded Processing Guide


System Solutions 113


Smart Metering
Smart Metering Solutions
Get additional information at: www.ti.com/metering

TI’s Broad Portfolio Drives Smarter Metering Solutions


TI’s comprehensive utility metering
portfolio includes devices targeted at Designed specifically for the demands of series of ultra-low power MCUs enable a
electricity, water and gas metering, as utility metering applications, TI’s MSP430 five-to-one reduction in system compo-
well as power line communications ultra-low power microcontroller is the per- nents. This high integration makes it an
(PLC) and radio frequency (RF) inter- fect combination of ultra-low power and ideal solution for single-phase metering
faces for automated meter reading high-performance analog integration. applications.
(AMR) that allow customers to design MSP430 MCUs offer devices for one- to
Flow Metering – Gas or Water
“smart metering” solutions. three-phase electricity, water and gas
MSP430 devices are ideal for the ultra-
metering, as well as radio frequency
As part of a smart solution, previously low power consumption required in flow-
wireless interfaces for automated meter
independent systems like meters, metering applications. The scan interface
reading (AMR).
home thermostats and large appli- (SIF) peripheral module available on the
ances will communicate wirelessly or Electricity Metering MSP430FW42x series of devices is an
over existing power lines to help con- An advanced electronic electricity meter innovative way to measure rotation of a
sumers make more informed choices requires an MCU that offers precise volt- mechanism. Its advantages lie in its power
about electricity. age and current conversion over a wide efficiency and flexibility, operating while
dynamic range, programmable Flash, non- the CPU sleeps. It can operate with a vari-
Targeted Applications volatile storage, real-time clock function, ety of sensor types and both rotational
• Smart electricity meter flexible display and AMR-enabled commu- and linear motion also can be measured.
• Water and gas meter nications features. The MSP430 family of
• Programmable communicating Automated Meter Reading
electricity-metering devices was designed
thermostat (PCT) Essentially like a two-way remote control,
for these demands. The family offers up to
• Demand response unit (DRU) an electronic meter with an AMR solution
four 16-bit independent sigma-delta con-
• In-home display (IHD) reads billing information and performs
verters and programmable gain amplifiers
• Pre-payment systems meter diagnostics automatically and com-
along with specific integrated e-metering
municates that information either wire-
Faster Time to Market modules such as the ESP engine or 32×32
lessly or over existing power lines back to
• Globally deployed metering know- hardware multiplier allowing for easy, high-
the utility. MSP430’s versatile portfolio of
how and products performance energy-metering calculations.
integrated communication modules allows
• Comprehensive end-to-end system- Low-Cost MSP430FE47x2 MCUs for easy AMR-enabled solutions.
on-chip (SoC) solutions Reduce Component Count for
• Innovation and expertise across a TI Smart Metering Solutions Benefits
Electricity Metering
robust portfolio, covering all the • Faster time to market
By integrating an ESP energy calculation
major functions of the meter • Flexibility
engine with 2×16-bit sigma-delta ADCs,
• Contactless radio frequency technology
Flexibility 128-segment LCD driver, up to 32 KB of
transforming smart meters with secure
• Complete portfolio of hardware, soft- Flash memory and 1 KB of RAM, and a
pre-payment
ware and SoCs tailored to functional real-time clock, the MSP430FE47x2
requirements
• Future-proof solutions Recommended Embedded Processing Devices for Metering Applications
• Upgradeable in-system programma- TMS430 MCUs TMS320C2000™ MCUs
ble Flash to support evolving world- MSP430FE427A TMS320F280x
wide standards MSP430FW427 TMS320F2802x
MSP430F427A TMS320F2803x
• Available standards include IEC,
MSP430F4794
ANSI, ZigBee®, Wireless, M-Bus, PLC
MSP430F47187
• Scaleable families of solutions to
MSP430F5xx
allow optimization of system
requirements

Texas Instruments 2Q 2009 Embedded Processing Guide


114 System Solutions
 Telecom
Telecom Systems Solutions
Get additional information at: www.ti.com/telecom

Telecom Systems Overview


Targeted Applications
Telecom applications require the process- form-factor DSPs as well as analog front
• Wireless infrastructure
ing power to handle a wide variety of data, ends. In addition, comprehensive software
• Cellular wireless base stations
voice, telephony and connectivity func- is provided supporting industry standards
(Macro, Micro, Pico and Femto
tions. Using TI programmable DSPs, you as well as flexible software building blocks
base stations)
can easily expand product capabilities and that accelerate the design process from
• Broadband wireless base stations
feature sets to match the demands of concept through production.
(WiMax, McWill, others)
your design. In addition, you can cus-
• BSC, RNC and core network These complete solutions significantly cut
tomize, adapt and scale the DSP-based
• IMS (video, data, voice) power consumption, reduce development
design to suit your particular requirements
• Public safety mobile system time, minimize board space and enable
and focus on end-product differentiation.
(TETRA, etc.) multi-function applications, making a new
• Terminal positioning TI offers complete DSP-based solutions class of Internet-access devices possible.
• Base station backhauls for remote data collection, Internet con- When you combine the processing power,
communication nectivity, telephony co-processing and high integration and flexibility with their
• Wireless repeater voiceband processing client-side telephony low price points and ease of use, TI DSPs
applications. These solutions provide hard- are a perfect fit for telecom applications.
• Wired telephony ware including power-efficient/small
• Infrastructure telephony (enter-
prise, gateway, SOHO) Infrastructure Telecom
• Client-side telephony – for home Device C64x™ • Wireless infrastructure
Next • Infrastructure telephony
networking (voice/data access Production • Wireless broadband
C6474 • Base station backhaul
points) Future 3 × 1 GHz
• Public safety mobile system
C6455/57
• Remote data collection 1.0/1.2 GHz Client Wireless
• Industrial monitoring systems C6454/55 • Broadband wireless –
720/850 MHz WiMAX
• Full-duplex speakerphones C6452
C64x+™
Next
• Digital amateur radios
700/900 MHz • GPS
• SMS/MMS phones
Segment

• Head sets
C641xT C55x • Military wireless terminals
• Video phones, video conferencing 850M/1 GHz Next • PHS data modems
• Intelligent phones C641xT • Police wireless terminals
600/720 MHz • Radios
C641x C55x™
Client Wired
• Wireless terminal (excluding 600/720 MHz C55x 300 MHz C55x • Advanced phones
200 MHz Next
handsets) • Faxes
C54x C54x™ • Full-duplex speakerphones
C54x 300 MHz
• Broadband wireless access boxes 100 MHz 200 MHz • Hands-free kits
• Modems
• Military/police wireless communi- Telecom: TI’s telecom solutions include high-performance and low-power DSPs. • Point of sale
cation device Remote data collection, analog front ends, comprehensive software supporting industry • Remote data collection
standards and development tools which significantly cut power consumption, reduce
• Digital pagers development time, minimize board space and enable wired and wireless data, voice, telephony and connectivity functions.

• Mobile Internet Device (MID) Time


• Amateur radios Telecom solutions roadmap

• Telecom accessories
• Hands-free kit
• Bluetooth® headset

Texas Instruments 2Q 2009 Embedded Processing Guide


System Solutions 115


Video and Imaging
Video and Imaging Systems Solutions
Get additional information at: www.ti.com/videoandimaging

Video and Imaging Systems Overview


Targeted Applications
• Automotive infotainment As the video and imaging markets continue processor portfolio for digital video appli-
• Automotive video sensing to evolve with new functionality require- cations includes:
• Automotive vision ments and multiple emerging video stan-
• DaVinci™ Digital Media Technology
• Broadcast systems dards, developers need to adapt designs
(see page 24)
• Cable head-end video equipments with the right balance of processing per-
• TMS320C6000™ DSP Platform (see
(routers) formance, power consumption and system
page 11)
• Digital camcorders flexibility. Portable and plugged applica-
• TMS320C5000™ DSP Platform (see
• Digital cameras tions need different levels of power con-
page 5)
• Digital media adapters sumption and support for real-time video
• OMAP™ Platform (see page 39)
• Digital photo frames processing such as video coding,
• Digital signage transcoding, transrating and video/imaging Numerous application development kits
• Digital TV and server head-ends instruction sets. TI’s processors provide the are available to get designs off the ground
• Digital video recorders processing performance and programma- quickly. These kits provide hardware and
• IP network cameras bility other processors are unable to provide. software at a variety of integration levels
• IP-based video-conferencing and price points to handle real-time per-
TI offers a large product portfolio including
endpoints formance, channel density, simultaneous
hardware, software and integrated system
• IP-based video phones processing of video, audio/voice and data
solutions that are perfect for a variety of
• IP set-top boxes streams across both wired and wireless
digital video applications. TI’s large
• Hard-copy appliances networks.
• Machine vision
• Media encoder/decoder appliances
• Media gateways Device Infrastructure Video
• Medical imaging Production • Cable head-end video systems
DM64x+
• Multi-channel security DVRs
• Network projector Sampling
Next • Professional-grade broadcast-
In Development quality systems
• Personal video recorders DM Next • Video-conference MCU/
Future gateways
• Portable media players
DM6467T
• Professional-grade video broadcast DM648 Client Video
1.1 GHz DM6467 • IP-based video phones
equipment DM64x+
• IP set-top boxes
Segment

Next
DM647/8 • Media encoder/decoder
• Robotics appliances
DM Next
• Security recording systems DM6467T • Networked PVRs
DM648
• IP video surveillance
• Streaming video appliances DM644x 1.1 GHz DM6467 • IP video-conferencing
• Video broadcast transcoding DM64x
DM643x DM647/8 DM3x+ Portable Video
Next • Digital still cameras
• Video-conferencing, multi-point DM64x
DM365 • Digital camcorders
DM6441
conference units (MCUs)/gateways DM355
DM357 • Gaming
• Multimedia jukeboxes
• Video infrastructure • PDAs
• Portable medical
• Video jukeboxes
• Digital video security recorders Time
Video and imaging solutions roadmap
(DVRs)
• Video surveillance cameras
• Webpads
• Wireless cameras

Texas Instruments 2Q 2009 Embedded Processing Guide


116 System Solutions
 Video and Imaging
Additional Resources
Video/Imaging Online Information The VICP hardware accelerator is a paral- Video and Imaging Guide
A wealth of video/imaging information lel MAC engine. Due to its flexible archi- Explore TI’s solutions for a wide variety of
awaits you at www.ti.com/video. tecture, the accelerator is very effective in video and imaging applications in this
enhancing DSP performance by taking comprehensive guide. TI’s Video and
Whether you need details about any of TI’s
over execution of varied computationally Imaging Guide contains valuable video
vast array of devices … or block diagrams
intensive tasks. and imaging information for your design
regarding various end equipments … or
needs. Find out everything you need to
links to selection guides, solutions guides VICP supports various algorithms to
know in this complete guide that includes
and application notes, you’ll find it here. enable additional DSP resource:
system block diagrams, product informa-
You’ll also find access to: • Matrix operations/array operations:
tion, development tools, software, support
• Development boards and EVMs • Ex: Matrix multiplication/transpose.
and various other resources.
• Various system block diagrams Block add/average/variance
www.ti.com/visolutionsguide.
• White papers • Ex: Array multiplication/addition/
• TI device information fillmem. Array scalar operations VLIB 2.0: Video Analytics & Vision
• Free Digital Media Focus eNewsletter • Ex: Look-up table Library
• Video/imaging events around the world • Digital signal processing operations: TI’s Video Analytics & Vision Library (VLIB)
• News releases • Ex: 1D, 2D FIR filtering is a collection of software kernels that are
• Ex: Convolution, correlation commonly used in automotive-vision and
Check out TI’s video/imaging applications
• Digital image and video processing video-security applications. The kernels
page today. And, bookmark it for the
functions: are optimized for both C64x™ and
future.
• Ex: Alpha blending, color space C64x+™ DSP cores and can accelerate
VICP Signal-Processing Library conversion software development and enable per-
Improves Performance Capabilities • Ex: Image rotation, image pack/ formance improvements up to 10× over
Texas Instruments VICP signal-processing unpack standard C code on TI’s DSPs. The VLIB
library is a collection of highly tuned soft- • Ex: Median filtering 2.0 now consists of 50+ royalty-free soft-
ware algorithms that execute on the VICP www.ti.com/vicp. ware kernels including background model-
hardware accelerator. The library allows ing and subtraction, object feature extrac-
YouTube Video Resources
the application developer to effectively tion, tracking, recognition and low-level
TI has a number of information videos on
utilize the VICP performance without pixel processing as well as Simulink™
its TMS320DM365,
spending significant time in developing blocks for each function and the bit-exact
TMS320DM355 and
software for the accelerator. The availabili- version for PC testing capabilities.
TMS320DM6467 solutions. These can be
ty of well-tested, performance-tuned algo- www.ti.com/vlib.
found at www.ti.com/dm365,
rithms with the VICP signal-processing www.ti.com/dm355 and
library significantly reduces the application www.ti.com/dm6467 respectively.
development time. The freed-up MIPS on Additionally, TI has these videos on
the DSP enable the application developers YouTube. Check out TI’s YouTube channel
to include more differentiation features in for a growing list of TI homegrown videos:
the final application. www.ti.com/youtube.

Texas Instruments 2Q 2009 Embedded Processing Guide


System Solutions 117


Video and Imaging
Video and Imaging Development Tools
TI has a number of hardware/software development tools that can and software, and enable developers to start instantaneous
be used for a variety of digital video designs. Digital Video evaluation of DaVinci™ processors.
Evaluation Modules (DVEVMs) are comprised of both hardware

TMS320DM365 Digital Video Evaluation Module (DM365 building digital video applications such as IP security cameras,
DVEVM) – The TMS320DM365 Digital Video Evaluation Module digital photo frames, digital signage, video doorbells and portable
(DVEVM) includes both hardware and software enabling developers digital video products that have yet to be invented.
to start immediate evaluation of the DM365 processor and begin
DVEVMs come complete with a demo version of MontaVista Linux
Pro 5.0, drivers, evaluation codecs and an evaluation board.
Registered DVEVM users may download TI’s HD H.264, MPEG-4,
JPEG, MP3 and G.711 production codecs free of charge from the
DaVinci software updates site.
The DVEVM allows developers to write production-ready applica-
tion code for the ARM® and provides access to the HMJCP
coprocessor core using DaVinci APIs to begin immediate applica-
tion development for DM365 digital media processors. The Digital
Video Software Production Bundle (DVSPB) MontaVista Linux com-
panion product is recommended for production.
For more information, contact your authorized TI distributor or visit
TMS320DM365 Digital Video Evaluation Module www.ti.com/dm365dvevmdsg.

TMS320DM357 Digital Video Evaluation Module (DM357 For more information, contact your authorized TI distributor or visit
DVEVM) – The TMS320DM357 processor and DVEVM take advan- www.ti.com/dm357dvevmdsg.
tage of all the tools and support included in the DaVinci technology
portfolio to help product developers save months of time. The
application programming interfaces (APIs) common across DaVinci
offerings also means that developers familiar with DaVinci technol-
ogy or ARM® development can quickly develop their products with
virtually no learning curve.
The TMS320DM357 DVEVM includes both hardware and software
enabling developers to start immediate evaluation of the DM357
processor. DVEVMs come complete with a demo version of
MontaVista Linux Pro 5.0, drivers, evaluation codecs and an evalu-
ation board. Registered DVEVM users may download TI’s JPEG and
HD MPEG-4 SP production codecs including JPEG/MPEG-4
SP/H.263/H.264 BP/G.711 and free of charge from the DaVinci
software updates site. TMS320DM357 Digital Video Evaluation Module

Texas Instruments 2Q 2009 Embedded Processing Guide


118 System Solutions
 Video and Imaging
TMS320DM355 Digital Video Evaluation Module (DM355
DVEVM) – The TMS320DM355 Digital Video Evaluation Module
(DVEVM) includes both hardware and software enabling develop-
ers to start immediate evaluation of the DM355 processor.
DVEVMs come complete with a demo version of MontaVista Linux
Pro 4.0, drivers, Codec Engine, evaluation codecs and an evalua-
tion board. Registered DVEVM users may download TI’s JPEG and
HD MPEG-4 SP production codecs and G.711 codec free of
charge from the DaVinci™ software updates site. The DVEVM can
be used for the development of digital video applications such as
IP security cameras, digital photo frames, digital cameras, video
doorbells, portable medical and portable digital video products
that have yet to be invented.
The DVEVM allows developers to write production-ready applica-
tion code for the ARM and provides access to the MPEG/JPEG
TMS320DM355 Digital Video Evaluation Module
coprocessor core using DaVinci APIs to begin immediate applica-
tion development for DM355 digital media processors.
The Digital Video Software Production Bundle (DVSPB) MontaVista For more information, contact your authorized TI distributor or visit
Linux companion product is recommended for production. www.ti.com/dm355dvevmdsg.

TMS320DM6467 Digital Video Evaluation Module (DM6467 the DM6467 processor. DVEVMs come complete with a demo ver-
DVEVM) – The TMS320DM6467 DVEVM includes both hardware sion of MontaVista Linux Pro 4.0, drivers, Codec Engine, evalua-
and software enabling developers to start immediate evaluation of tion codecs and an evaluation board. The DVEVM can be used for
the development of digital video applications such as surveillance
digital video servers/recorders, media gateways, multi-point con-
trol units digital media adapters, set-top boxes and many other
high-definition video applications.
The DM6467 DVEVM allows developers to write production-ready
application code for the ARM® and provides access to the
TMS320C64x+™ DSP and HD-Video/Imaging Coprocessor core
using DaVinci APIs to begin immediate application development
for the DM6467 digital media processor.
The Digital Video Software Production Bundle (DVSPB) MontaVista
Linux companion product is recommended for production.
For more information, contact your authorized TI distributor or visit
www.ti.com/dm6467dvevmdsg.
TMS320DM6467 Digital Video Evaluation Module

For a complete listing of all of TI’s video/imaging hardware and software development tools, please see the chart on the next page.

Texas Instruments 2Q 2009 Embedded Processing Guide


System Solutions 119


Video and Imaging
Video and Imaging Hardware and Software Development Tools
Description Part Number Price1
Hardware Development Tool
TMS320DM642 Digital Media Development Kit (DM642 DMDK) TMDSDMK642 (U.S. part number) 6,495
TMDSDMK642-0E (European part number)
Evaluation Modules (EVMs)
TMS320DM644x Digital Video Evaluation Module (DVEVM) TMDSEVM6446 2,495
OMAP35x Evaluation Module TMDSEVM3530 1,499
TMS320DM642 Evaluation Module (EVM) TMDSEVM642 1,995
TMS320DM6437 Digital Video Development Platform (DVDP) TMDSVDP6437 495
TMS320DM648 Digital Video Development Platform (DVDP) TMDSDVP648 1,295
TMS320DM355 Digital Video Evaluation Module (DVEVM) TMDXEVM355 495
TMS320DM357 Digital Video Evaluation Module (DVEVM) TMDXEVM357 895
TMS320DM365 Digital Video Evaluation Module (DVEVM) TMDXEVM365 595
TMS320DM6467 Digital Video Evaluation Module (DVEVM) TMDSEVM6467 1,995
JTAG Emulators
Spectrum Digital XDS510PP-Plus Emulator TMDSEMUPP (U.S. part number) 1,095
Spectrum Digital XDS510PP-Plus Emulator with European Cords TMDSEMUPP-0E (European part number) 1,095
Spectrum Digital XDS510™ USB Emulator TMDSEMUUSB 1,495
Blackhawk XDS560™ JTAG PCI Emulator TMDSEMU560PCI 2,995
Blackhawk XDS560 USB High-Performance JTAG Emulator TMDSEMU560U 2,999
XDS560 USB Trace Emulator2 TMDSEMU560T 9,995
Software Development Tools
Digital Video Software Production Bundle (DVSPB) TMDSDVSPBA9-L 8,995
Digital Video Software Production Bundle (DVSPB) w/ MontaVista Pro Linux, CCStudio IDE and XDS560R Emulator TMDSDVSPBA9-3L 12,995
VICP Signal Processing Library (DM6446, DM6441, DM647 and DM648 processors) SPRC831 Free
Code Composer Studio™ (CCStudio) IDE Platinum v 3.3 Development Tools Bundled with Annual S/W Subscription TMDSCCSALL-1 3,595
Supports C6000™, C5000™, C2000™, DaVinci™ and OMAP™ processor platforms
C6000, C5000, C2000, DaVinci, and OMAP processor CCStudio Development Tools Annual Software TMDSSUBALL 600
Subscription for Version 3.10 and higher
Code Composer Studio IDE Free Evaluation Tools SPRC119 (www.ti.com/freetools) Free
Includes C6000 DSP and DaVinci CCStudio IDE 120-Day Free Evaluation Tools3
Video Analytics & Vision Library (VLIB) www.ti.com/vlib Free
TMS320C62x™ DSP Image Library SPRC093 Free
TMS320C64x™ DSP Image Library SPRC094 Free
1 Prices are quoted in U.S. dollars and represent year 2009 suggested resale pricing. All prices are subject to change. Customers are New tools are listed in bold red.
advised to obtain the most current and complete pricing information from TI prior to placing orders. TI may verify final pricing prior to accepting any order.
2 The XDS560 Trace is designed for use with trace-enabled digital signal processors. Currently the following processors are fully supported by trace: TMS320C6418, TMS320C6416T,

TMS320C6415T, TMS320C6414T, TMS320C6413, TMS320C6412, TMS320C6411, TMS320C6410, TMS320DM648, TMS320DM647, TMS320DM643, TMS320DM642, TMS320DM641 and
TMS320DM640 processors.
3 Includes full-featured Code Composer Studio Development Tools, code generation tools (C/C++ compiler/assembler/linker) and simulator all limited to 120 days.

Video and Imaging Integrated Solutions


For more information on TI’s video and imaging solutions, go to www.ti.com/videoandimaging.

Texas Instruments 2Q 2009 Embedded Processing Guide


120 System Solutions
 Video and Imaging
Power Management Products for the TMS320DM3x/DM644x/DM643x/DM64x™ DSP Generations
Get samples, data sheets, Evaluation Modules (EVMs) and app reports at: power.ti.com

Suggested Texas Instruments Power Management Solutions for Battery-Powered TMS320DM3x/DM644x/DM643x Processors
Core and I/O Voltages
Synchronous Non-Synchronous Synchronous Non-Synchronous
Input Controller Controller Integrated FET Integrated FET Multiple-Output
Voltage LDO (External FETs) (External FET and Diode) Converter Converter Converter
3.3 V TPS75401 TPS40041 TPS64200 TPS62040 TPS65023
5V TPS75401 TPS40042 TPS64200 TPS62040 TPS54350 TPS65023
TPS54386
12 V TPS40190 TPS40200 TPS5420
TPS5124† TPS54386
24 V TPS5124† TPS40200* TPS5420* TPS54386
†Dual-output controller
*Due to Vout/Vin ratio core voltage may have to be stepped down from I/O voltage
I/O supply 3.3 V, current up to 1 A
Core supply down to 1.0 V, current up to 2 A

Suggested Texas Instruments Power Management Solutions for Line-Powered TMS320DM3x/DM644x/DM643x/DM64x Processors
Core and I/O Voltages
Synchronous Non-Synchronous Synchronous Non-Synchronous Non-Isolated Isolated
Input Controller Controller Integrated FET Integrated FET Power Power Multiple-Output
Voltage LDO (External FETs) (External FET and Diode) Converter Converter Module Module Converter
3.3 V TPS75401 TPS40041 TPS64200 TPS54317 PTH04070 TPS65023
5V TPS75401 TPS40042 TPS40200 TPS54317 TPS54350 PTH04070 TPS65023
TPS54386
12 V TPS40190 TPS40200 TPS54350 TPS54350 PTH08080
TPS5124† TPS54386
24 V TPS40057 TPS40200* TPS5430* PTN78000* PTB78560*
TPS5124† TPS54386
48 V TPS40061 TPS40200* PTMA403033*
†Dual-output controller
*Due to Vout/Vin ratio core voltage may have to be stepped down from I/O voltage
I/O supply 3.3 V, current up to 1 A
Core supply down to 1.0 V, current up to 2 A
VIN DSP_EN

10Ω DaVinci™
VIN VCC TPS65023
1F 4.7kΩ 4.7kΩ TMS320DM644x
VINDCDC1 SCLK SCLK
10F SDAT SDAT
VINDCDC2 INT CVDDDSP
10F 100kΩ
USB_VDD1P2LDO
VINDCDC3 VDCDC1 1.2-V
CVDD Domain
10F L1
2.2H 22F APLLREFV
VIN_LDO VDDA_1P1V
100kΩ
LOW_BATT
VDCDC2 DVD18
VDCDC3 PWRFAIL_SNS L2 DVDDR2
2.2H 22F VDDA_1P8V
LOWBAT_SNS USB_VDD1P8 1.8-V
M24VDD Domain
HOT_RESET LDO1 PLLVDD18
TRESPWRON 2.2F DDR_VDDDL
1nF
MXVDD
VIN DEFLDO1 LDO2
DEFLDO2 2.2F
LDO_EN
VDCDC3 VSYSIN VDCDC3 USB_VDDA3P3 3.3-V
VBACKUP L3 DVDD33 Domain
1F 2.2H
VRTC 22F
PWRFAIL 100kΩ
4.7F 100kΩ
DCDC2_EN RESPWRON
EN DCDC1_EN AGND1
DCDC3_EN AGND2
100kΩ
VIN DEFDCDC3 PGND1
DEFDCDC2 PGND2
DEFDCDC1 PGND3

For additional power supply designs for TI DSPs, please visit www.ti.com/processorpower.
Note: The TI power devices recommended here are based on standard operating conditions. System designers should use device power estimation tools in conjunction with overall application level
power requirements to ensure an adequate power supply design is used.

Texas Instruments 2Q 2009 Embedded Processing Guide


Notes 121

Important Notice: The products and services of Texas Instruments Incorporated and its subsidiaries described herein are sold subject to TI’s standard terms and conditions of sale. Customers are advised to
obtain the most current and complete information about TI products and services before placing orders. TI assumes no liability for applications assistance, customer’s applications or product designs, software
performance, or infringement of patents. The publication of information regarding any other company’s products or services does not constitute TI’s approval, warranty or endorsement thereof.

Safe Harbor Statement: This publication may contain forward-looking statements that involve a number of risks and uncertainties. These “forward-looking statements” are intended to qualify for the safe
harbor from liability established by the Private Securities Litigation Reform Act of 1995. These forward-looking statements generally can be identified by phrases such as TI or its management “believes,”
“expects,” “anticipates,” “foresees,” “forecasts,” “estimates” or other words or phrases of similar import. Similarly, such statements herein that describe the company’s products, business strategy, outlook,
objectives, plans, intentions or goals also are forward-looking statements. All such forward-looking statements are subject to certain risks and uncertainties that could cause actual results to differ materially
from those in forward-looking statements. Please refer to TI’s most recent Form 10-K for more information on the risks and uncertainties that could materially affect future results of operations. We disclaim any
intention or obligation to update any forward-looking statements as a result of developments occurring after the date of this publication.

Trademarks in this issue: The platform bar, C2000, TMS320C2000, C28x, TMS320C24x, TMS320C28x, C5000, TMS320C5000, C54x, TMS320C54x, C55x, TMS320C55x, DaVinci, C6000, TMS320C6000, C64x,
TMS320C64x, C64x+, TMS320C64x+, C67x, TMS320C67x, DM64x, TMS320DM64x, TMS320C5x, Code Composer, Code Composer Studio, controlCARD, controlSTICK, DSP/BIOS, eXpressDSP, MicroStar BGA, NanoStar,
OMAP, Piccolo, RTDX, SimpliciTI, StellarisWare, TI-RFid, TMS320, VLYNQ, XDS510, XDS560 and Z-Stack are trademarks and Stellaris and DLP are registered trademarks of Texas Instruments. All other trademarks are
property of their respective owners.
© 2009 Texas Instruments Incorporated
Printed in U.S.A. by Southwest Precision Printers, Houston, TX

Texas Instruments 2Q 2009 Embedded Processing Guide


t
or 07
Repy 20
ion Ma
at 9– 7/8
lic RAAK
App SP
64 tization
DM uc
20 Prod
S3 d HW
TM Spee
e gh oll
er
th .... Hi ntr ing
co ify

t on ......... ry spec .
mo to es
d
an ,

ou
s es me h vic on vic s
... ac de ati de e thi to
y ... R2 pro
La
... DD ap vious ecific ry tak tion
... n
... the The pre t sp memothe ula
B ...
for es. n on
es

PC
... CT ee sim vic
...
... s sh ble uld d de the e
RA on vic tha ta ati wo ee ed
R2 rm t. Th nt
R2
... ST cti de t da mp r sp
... AB tru P en of co me h DD rfo me me
... ins DS differ ms n sto hig
DD
... ble pe cu
g
... n
tio 7/8 ite in ter ob e using
tai cu ati has areis do tin e
... mp TI ings Th
g ... nta 64is qu ing to Th ) rou vic
tin
... ls. CB co r. se de 7/8 s
... me DM e tim uired de (P ify me e timteral.
ple 20 ac the 7/8 64 thi to
en s ... e ec
im S3 erf vic req n mo ard sp custoerfac colla ing 64 er
DM in is
ne TM int de s tio bo t ow
m Jo ins
nta in theDDR2 ified r
wa ula it
to
is the int R2 low DMoth led en all
ple
vin cu h to R2 DD fol gle an detai int d
co d ec me sim cir ac tly ts sin an
Ke ou a ining es t the ts
t, nt the sp sto d d pro ec DD 7/8
Im l Sh
us me inefor ach cu ts anprinte
cu nta e
ap dir sure 64
the ion en DM B
lay ins nta g rul, bu en
co tin em
. 2
ae do co ing pro Th shee ir e, solut to the PC contard rou ectly uir n. ... 2
ch is ace e tim ap ls. ta n the ac ca dir req tio ... . 3
Mi Th erf ac us de da erf e rk as ple K r the ts B ca ... ...
int erf vio mo ir desig. int g ruln wo to al. am DS PC pli ... ... ... 3
ex The ughtemeet layou er ap ... ... ...
... ... ... ... .
int pre tion the d ing 5
R2 tin sig erred ter
e an e da M oth ecific ... ... ... ... ... 6
Th ula ll as on m tim DD B rou de ref colla vid ts. d R2 et ... ... ... ... ... .
sim we ati ste 7/8 PC m is this pro en he K/EV DD me ir sp ... ...... ...... ...... ... ...... 11
ac ... ...
... ... ... ... ... ... ...
as orm sy 64 ste M em se to the
sy lution of EV uir att DS
inf se DM e thed ... ... ... ... ... ... ...
nt d req an the py therules t for ... ... ... ... ... ... ...
clo the vid an so nte an I th ... ... ... ... ... ... ... . 4
r
Fo d pro tio ste co
n m K EM wi ony co tin lay
g ou
... ... ... ...... ... ... ......
nts ... ...... ...... ... ... ...... ...
... 6
... .. 7
an ula sy the DS C K outs ma rou d ... ... ... ... .
FC DS lay r the ize nte ... ... ... ... ... ... ... ... 8
sim R2 ribes 7/8 a Co ...... ... ... ...... ...... ... ...... ... ... ... .
DD sc 64 pass is R2 me in optim ... ... ... ... ... ... ... ... ... 10
DM t M DD custoility an ... ... ... ... ... ... ... ... ... ... ... . 12
... ... ... ... ... ... ...
de ... ... ... ... ... .
... es ... ... ... ... ...
e tha EV e ... ... ... ... ... ... 12
Th es the Both The flexib riv
rul d e. nt. gh de ... Devic ...... ns ... ... ...... ...... ...
... ...... ... ...... ............ .... 13
...
an vic me ou r to ...
... ... tio ...... ... ... ... ... ... ... ... ... ... .. 13
... ed ... ec ... ... ... ... ... ... ... ... ... ... ... .. 13
de cu en me ... ort ... nn ... ... ... s ... ... ... ... ... ... ... ...... ... ..
do ow sto ... Supp n ... l Co ... ...... ...... Figure ...... on ... ...... ...... ............ ...... ... ...... ... .. 14 1
all cu ite R2 tio ctrica ...... ... ...... ... ati ... ... ... ... ... ... ... ... ...
s
the uis DD nta ... ... ... t of ... ific ... ...... ...... ... ...... ...... ... ...... ...
/8
me d Ele ... ...... ... ... ec ... ... ... ... ... ...
tic Sp ... ...... ...... ... ng ... ... ...... ... ......
req 7/8 Lis 647
Pre 64 Docu an ...... ... ...... ma nt ... ... ... ... uti ... ... DM
DM her tics ... ... he me ...... ... ... ...... Ro ... ...... ... ...... the
... ...
Ot hema p ... ... ......
on
1 l Sc ce ... ...... ...... ... ck ...... ... ...... ... B
Sc cku nt ... ve Pla n ... ... ... PC
2
me ... Le vice gio ... ...... ... d Clo...... ... ...... ... R2
3 Sta ce h Re nts ... an ... ...
4 Pla uting Hig De t me ...... ... ol ...... ... ...... ... DD
R2 R2 Ou ire ... ... ntr ... ...... ... ...... ing
DD d DD Keep Requ ... ...... Co ...... ... ...... ...
5 Ro ent
6 lem
7 7/8 an nt ... ss,
... ... ... ...
64 7/8 DDR2 Filter ceme ... dre ... ...... ... ......
Imp

Digital Signal Processors


DM 64 ple L ... Ad ng ... ... ...
Pla on nk
DM am d DL rt ati Ba Routi ng ...
... ...
1 Ex L an te Paecific ss, 0 Routi ng ...
...
dre te 1 uti ng
2 PL cre Sp
3 Dis EF Ad ta By te 2 Ro uti
By te Ro
4 VR neral Da ta By 3
5 Ge neral Da ta Byte
6 Ge neral Da ta
7 Ge neral Da
8 Ge neral
9 Ge
10
11
ack
7 Feedb
n
y 200atio
Ma ent
9–
AK cum
RA it Do
SP bm
Su

Technical eNewsletters
Digital Media Processors Documentation

d
d de ors
Applications Processors be ss
Emroce
P

ARM® Processors
Product e2e.ti.com
Information
Microcontrollers
Download the latest resources for
embedded processors at
Complementary Analog Components www.ti.com/processors.

Embedded Processor Selection Made Easy


New interactive selection tools

Know your next design requirements? Your processor is just a few clicks away.

MCU Microcontroller Selection Tool


Selection
From memory size and type to number of pins and integrated peripherals, find the MCU
Tool
Select ✓
that best fits your needs and configure results based on analog integration, standby or
active current.
www.ti.com/mcutool

DSP Applications Processors and DSP Selection Tool


Selection
Find the best processor fit for your next design based on various parameters for a DSP
Tool
Select ✓
or SoC. You can also search for processors based on system and software capabilities
as well as supported operating systems.
www.ti.com/dsptool SPRT492B
Appendix 123


Complementary Analog Products for the TMS320DM335 Digital Media Processor
Best Performance Best Value Low Power
Video Amplifier THS7315 • 3 SDTV video amplifiers for OPA361 • 3-V video amp with internal gain and filter
SOIC CVBS, S-Video, Y’U’V, etc. SC70 • 2-pole reconstruction filter
• 5.2-V/V gain (14.3 dB) • Integrated level shifter
• Input range includes ground – DC-coupled input
• Rail-to-rail output
• Low quiescent current: 5.3 mA
• Shutdown current: 1.5 µA
Class-D Amplifier TPA2013D1 • Constant output power TPA2010D1 • 2.5-W mono filter-free TPA2006D1 • 1.45-W mono Class-D
DSBGA • 1.8-V to 5.5-V operation DSBGA Class-D SON • 2.8-mA quiescent current
QFN • 2.2-W into an 8-Ω load • Efficiency: 88% at 400 mW, • 0.5-µA shutdown current
from a 3.6-V supply 80% at 100 mW
• Improved CMRR, PSRR
Low-Power REF50xx • Low-temperature drift REF33xx • Low supply current: 3.9 µA (typ)
Voltage Ref SOIC [3 ppm/°C (max)] SOIC • Low temperature drift: 30 ppm/°C (max)
MSOP • High accuracy: 0.05% max MSOP • High initial accuracy: ±0.15% (max)
• Low noise (3 µVPP/V) • High output current: ±5 mA
Audio Codecs AIC3107 • Stereo codec with inte- AIC3104 • Stereo audio DAC + ADC AIC3254 • 4.1-mW stereo 48ksps
Low-Power Stereo QFN grated mono Class-D amp QFN • Six audio output drivers QFN DAC playback
• Audio ADC + Audio DAC • Automatic gain control • 6.1-mW stereo 48ksps
• Seven audio input pins • 14-mW stereo 48-kHz PB ADC record
• Low-power bypass
Video DAC TVP5150 • Ultra-low power NTSC/PAL video decoder
(Decoder) TQFP • Two composite inputs or one S-Video input
• VBI modes supported include: Teletext, Wide Screen Signaling, etc.
• Macrovision copy protection detection
• Ultra-low power consumption: 113 mW (typ)
• Power-down mode: <1 mW
Low-Power Touch TSC2008 • 1.2-V to 3.6-V, 12-bit nanopower, 4-wire micro touch screen controller with SPI™
Screen Controller QFN • Effective throughput rate: Up to 20 kHz (8 bit) or 10 kHz (12 bit)
DSBGA • Low power (12 bit, 8.2-kHz eq rate): 30.4 mA at 1.2 V, fSCLK = 5 MHz
• 1.5 × 2 WCSP-12 and 4 × 4 QFN-16 packages
• 44.6 mA at 2.7 V, fSCLK = 10 MHz
Low-Power Digital TMP102 • Low quiescent current – 10 µA (max)
Temp Sensor SOT • 10 µA (max) shutdown current
• Accuracy: 0.5°C (–25°C to +85°C)
• 12-bit resolution
• Supply range: 1.4 V to 3.6 V
Clocks CDCE913 • Programmable 1-PLL VCXO clock synthesizer with 1.8-V and 3.3-V outputs
Programmable PLL TSSOP • In-system programmability and EEPROM (serial programmable volatile register and nonvolatile EEPROM)
Synthesizer • Flexible input clocking concept (external crystal: 8 MHz to 32 MHz)
• Low-noise PLL core [PLL loop filter components integrated and low period jitter (typical 50 ps)]
• Flexible clock driver
• Separate output supply pins
Low-Power Wireless CC2525 • Wide supply range (2.0 V – 3.8 V) CC2500 • Low current consumption
2.4-GHz RF QFN • Low current consumption (27 mA in RX, 31 mA in TX @ 0 dBm) QFN (13.3 mA in RX, 250 kBaud)
Transceiver • –87 dBm sensitivity (at 2 Mbps) • Programmable data rate
from 1.2 to 500 kBaud
Class A-B Amplifier TPA6204A1 • 1.7 W into 8Ω from a 5-V TPA6205 • 1.25 W into 8Ω from a 5-V TPA721 • 250 to 700 mW @ 3.3,
SON supply at THD = 10% typ MSOP supply at THD=1% typ SOIC 5 V with 8-Ω load
• Fast startup SON • Shutdown pin has 1.8-V MSOP • Depop circuitry
• Only three external BGA compatible thresholds • Thermal and short-circuit
components • Only 5 ext components protection
24-/16-/8-Bit TCA6424 • 24-bit I/O expander TCA6416 • 16-bit I/O expander TCA6408 • 8-bit I/O expander
I/O Expander QFN • No glitch on power up TSSOP • Internal power-on reset TSSOP • Low standby current
• 5-V tolerant I/O ports QFN • Noise filter on SCL/SDA QFN consumption of 1 µA
BGA inputs BGA
Compact Flash CF4320H • Compact Flash bus-interface chip with ±15-kV ESD protection, translation, and card-detect circuitry
Interface LFBGA • Logic-level translation between 1.8-V, 2.5-V, 3.3-V and 5-V supplies
• Floating input conditions allowed
• Latch-up performance exceeds 250 mA per JESD 17
ESD Protection TPD4E001 • 4-channel ESD protection TPD2E001 • 2-channel ESD protection
±15-kV Array SOT • Low 1.5-pF input DRY • Low 1-nA (max) leakage current
capacitance DRL • 0.9-V to 5.5-V supply-voltage range
QFN • DRY, DRL and QFN package options
Please visit ti.com/processorpower for complete power solutions.

Texas Instruments 2Q 2009 Embedded Processing Guide


124 Appendix
 Complementary Analog Products for the TMS320DM355 Digital Media Processor
Best Performance Best Value Low Power
Video Amplifier THS7315 • 3 SDTV video amplifiers for OPA361 • 3-V video amp with internal gain and filter
SOIC CVBS, S-Video, Y’U’V, etc. SC70 • 2-pole reconstruction filter
• 5.2-V/V gain (14.3 dB) • Integrated level shifter
• Input range includes ground – DC-coupled input
• Rail-to-rail output
• Low quiescent current: 5.3 mA
• Shutdown current: 1.5 µA
Class-D Amplifier TPA2013D1 • Constant output power TPA2010D1 • 2.5-W mono filter-free TPA2006D1 • 1.45-W mono Class-D
DSBGA • 1.8-V to 5.5-V operation DSBGA Class-D SON • 2.8-mA quiescent current
QFN • 2.2-W into an 8-Ω load • Efficiency: 88% at 400 mW, • 0.5-µA shutdown current
from a 3.6-V supply 80% at 100 mW
• Improved CMRR, PSRR
Low-Power REF50xx • Low-temperature drift REF33xx • Low supply current: 3.9 µA (typ)
Voltage Ref SOIC [3 ppm/°C (max)] SOIC • Low temperature drift: 30 ppm/°C (max)
MSOP • High accuracy: 0.05% max MSOP • High initial accuracy: ±0.15% (max)
• Low noise (3 µVPP/V) • High output current: ±5 mA
Audio Codecs AIC3107 • Stereo codec with inte- AIC3104 • Stereo audio DAC + ADC AIC3254 • 4.1-mW stereo 48ksps
Low-Power Stereo QFN grated mono Class-D amp QFN • Six audio output drivers QFN DAC playback
• Audio ADC + Audio DAC • Automatic gain control • 6.1-mW stereo 48ksps
• Seven audio input pins • 14-mW stereo 48-kHz PB ADC record
• Low-power bypass
Video DAC TVP5150 • Ultra-low power NTSC/PAL video decoder
(Decoder) TQFP • Two composite inputs or one S-Video input
• VBI modes supported include: Teletext, Wide Screen Signaling, etc.
• Macrovision copy protection detection
• Ultra-low power consumption: 113 mW (typ)
• Power-down mode: <1 mW
Low-Power Touch TSC2008 • 1.2-V to 3.6-V, 12-bit nanopower, 4-wire micro touch screen controller with SPI™
Screen Controller QFN • Effective throughput rate: Up to 20 kHz (8 bit) or 10 kHz (12 bit)
DSBGA • Low power (12 bit, 8.2-kHz eq rate): 30.4 mA at 1.2 V, fSCLK = 5 MHz
• 1.5 × 2 WCSP-12 and 4 × 4 QFN-16 packages
• 44.6 mA at 2.7 V, fSCLK = 10 MHz
Low-Power Digital TMP102 • Low quiescent current – 10 µA (max)
Temp Sensor SOT • 10 µA (max) shutdown current
• Accuracy: 0.5°C (–25°C to +85°C)
• 12-bit resolution
• Supply range: 1.4 V to 3.6 V
Clocks CDCE913 • Programmable 1-PLL VCXO clock synthesizer with 1.8-V and 3.3-V outputs
Programmable PLL TSSOP • In-system programmability and EEPROM (serial programmable volatile register and nonvolatile EEPROM)
Synthesizer • Flexible input clocking concept (external crystal: 8 MHz to 32 MHz)
• Low-noise PLL core [PLL loop filter components integrated and low period jitter (typical 50 ps)]
• Flexible clock driver
• Separate output supply pins
Low-Power Wireless CC2525 • Wide supply range (2.0 V – 3.8 V) CC2500 • Low current consumption
2.4-GHz RF QFN • Low current consumption (27 mA in RX, 31 mA in TX @ 0 dBm) QFN (13.3 mA in RX, 250 kBaud)
Transceiver • –87 dBm sensitivity (at 2 Mbps) • Programmable data rate
from 1.2 to 500 kBaud
Analog Front End VSP01M01 • 10-bit resolution VSP2582 • CCD signal processing
SOIC • SNR 8 5dB @ 18dB gain QFN • Programmable gain amp (PGA)
MSOP • Two-channel 8-bit DAC • 85 mW at 3.0 V and 36 MHz, 1 mW in standby mode
24-/16-/8-Bit TCA6424 • 24-bit I/O expander TCA6416 • 16-bit I/O expander TCA6408 • 8-bit I/O expander
I/O Expander QFN • No glitch on power up TSSOP • Internal power-on reset TSSOP • Low standby current
• 5-V tolerant I/O ports QFN • Noise filter on SCL/SDA QFN consumption of 1 µA
BGA inputs BGA
Compact Flash CF4320H • Compact Flash bus-interface chip with ±15-kV ESD protection, translation, and card-detect circuitry
Interface LFBGA • Logic-level translation between 1.8-V, 2.5-V, 3.3-V and 5-V supplies
• Floating input conditions allowed
• Latch-up performance exceeds 250 mA per JESD 17
ESD Protection TPD4E001 • 4-channel ESD protection TPD2E001 • 2-channel ESD protection
±15-kV Array SOT • Low 1.5-pF input DRY • Low 1-nA (max) leakage current
capacitance DRL • 0.9-V to 5.5-V supply-voltage range
QFN • DRY, DRL and QFN package options
Please visit ti.com/processorpower for complete power solutions.

Texas Instruments 2Q 2009 Embedded Processing Guide


Appendix 125


Complementary Analog Products for the TMS320DM365 Digital Media Processor
Best Performance Best Value Low Power
Video Amplifier THS7315 • 3 SDTV video amplifiers for OPA361 • 3-V video amp with internal gain and filter
SOIC CVBS, S-Video, Y’U’V, etc. SC70 • 2-pole reconstruction filter
• 5.2-V/V gain (14.3 dB) • Integrated level shifter
• Input range includes ground – DC-coupled input
• Rail-to-rail output
• Low quiescent current: 5.3 mA
• Shutdown current: 1.5 µA
Class-D Amplifier TPA2013D1 • Constant output power TPA2010D1 • 2.5-W mono filter-free TPA2006D1 • 1.45-W mono Class-D
DSBGA • 1.8-V to 5.5-V operation DSBGA Class-D SON • 2.8-mA quiescent current
QFN • 2.2-W into an 8-Ω load • Efficiency: 88% at 400 mW, • 0.5-µA shutdown current
from a 3.6-V supply 80% at 100 mW
• Improved CMRR, PSRR
Low-Power REF50xx • Low-temperature drift REF33xx • Low supply current: 3.9 µA (typ)
Voltage Ref SOIC [3 ppm/°C (max)] SOIC • Low temperature drift: 30 ppm/°C (max)
MSOP • High accuracy: 0.05% max MSOP • High initial accuracy: ±0.15% (max)
• Low noise (3 µVPP/V) • High output current: ±5 mA
Audio Codecs AIC3107 • Stereo codec with inte- AIC3104 • Stereo audio DAC + ADC AIC3254 • 4.1-mW stereo 48ksps
Low-Power Stereo QFN grated mono Class-D amp QFN • Six audio output drivers QFN DAC playback
• Audio ADC + Audio DAC • Automatic gain control • 6.1-mW stereo 48ksps
• Seven audio input pins • 14-mW stereo 48-kHz PB ADC record
• Low-power bypass
Video DAC TVP5150 • Ultra-low power NTSC/PAL video decoder
(Decoder) TQFP • Two composite inputs or one S-Video input
• VBI modes supported include: Teletext, Wide Screen Signaling, etc.
• Macrovision copy protection detection
• Ultra-low power consumption: 113 mW (typ)
• Power-down mode: <1 mW
Low-Power Touch TSC2008 • 1.2-V to 3.6-V, 12-bit nanopower, 4-wire micro touch screen controller with SPI™
Screen Controller QFN • Effective throughput rate: Up to 20 kHz (8 bit) or 10 kHz (12 bit)
DSBGA • Low power (12 bit, 8.2-kHz eq rate): 30.4 mA at 1.2 V, fSCLK = 5 MHz
• 1.5 × 2 WCSP-12 and 4 × 4 QFN-16 packages
• 44.6 mA at 2.7 V, fSCLK = 10 MHz
Low-Power Digital TMP102 • Low quiescent current – 10 µA (max)
Temp Sensor SOT • 10 µA (max) shutdown current
• Accuracy: 0.5°C (–25°C to +85°C)
• 12-bit resolution
• Supply range: 1.4 V to 3.6 V
Clocks CDCE913 • Programmable 1-PLL VCXO clock synthesizer with 1.8-V and 3.3-V outputs
Programmable PLL TSSOP • In-system programmability and EEPROM (serial programmable volatile register and nonvolatile EEPROM)
Synthesizer • Flexible input clocking concept (external crystal: 8 MHz to 32 MHz)
• Low-noise PLL core [PLL loop filter components integrated and low period jitter (typical 50 ps)]
• Flexible clock driver
• Separate output supply pins
Low-Power Wireless CC2525 • Wide supply range (2.0 V – 3.8 V) CC2500 • Low current consumption
2.4-GHz RF QFN • Low current consumption (27 mA in RX, 31 mA in TX @ 0 dBm) QFN (13.3 mA in RX, 250 kBaud)
Transceiver • –87 dBm sensitivity (at 2 Mbps) • Programmable data rate
from 1.2 to 500 kBaud
Analog Front End VSP01M01 • 10-bit resolution VSP2582 • CCD signal processing
SOIC • SNR 8 5dB @ 18dB gain QFN • Programmable gain amp (PGA)
MSOP • Two-channel 8-bit DAC • 85 mW at 3.0 V and 36 MHz, 1 mW in standby mode
24-/16-/8-Bit TCA6424 • 24-bit I/O expander TCA6416 • 16-bit I/O expander TCA6408 • 8-bit I/O expander
I/O Expander QFN • No glitch on power up TSSOP • Internal power-on reset TSSOP • Low standby current
• 5-V tolerant I/O ports QFN • Noise filter on SCL/SDA QFN consumption of 1 µA
BGA inputs BGA
Integrated 1394a TSB43EA42 • Integrated 400/200/100 Mbps 2-port/3-port PHY
PHY and Link BGA • Supports bus manager functions and automatic 1394 self-ID verification
• DTCP encryption support on IEEE 1394 bus
• Support for up to two encrypted/decrypted streams at one time
• Two configurable high-speed data ports for video data, one port serial, one serial or parallel
• 2 × 4K-Byte isochronous buffers for video data
• SRAM-like 16-bit asynchronous interface
ESD Protection TPD4E001 • 4-channel ESD protection TPD2E001 • 2-channel ESD protection
±15-kV Array SOT • Low 1.5-pF input DRY • Low 1-nA (max) leakage current
capacitance DRL • 0.9-V to 5.5-V supply-voltage range
QFN • DRY, DRL and QFN package options
Please visit ti.com/processorpower for complete power solutions.

Texas Instruments 2Q 2009 Embedded Processing Guide

Você também pode gostar