Você está na página 1de 148

Faculdade de Engenharia da Universidade do Porto

Modelao e Controlo de Conversor DC/AC para


interligao de painis fotovoltaicos Rede
Jos Pedro Pinto Teixeira Marques
VERSO PROVISRIA
Dissertao realizada no mbito do
Mestrado Integrado em Engenharia Electrotcnica e de Computadores
Major Telecomunicaes


Orientador: Prof. Dr. Armando Lus Sousa Arajo


Julho de 2009













































Jos Pedro Pinto Teixeira Marques, 2009
iii
Resumo
Este documento foi elaborado no mbito da disciplina de dissertao, inserida no plano de
estudos do 5 ano do Mestrado Integrado em Engenharia Electrotcnica e de Computadores,
da Faculdade de Engenharia da Universidade do Porto, no 2 semestre do ano lectivo de
2008/2009.
Desde o aparecimento do petrleo e da descoberta das suas capacidades energticas que
este tem sido um dos principais elementos usados para a produo de energia elctrica.
Trata-se, no entanto, de um elemento no renovvel, que demora milhares de anos a ser
criado, uma vez utilizado no poder ser reposto.
As energias renovveis, entre elas a energia fotovoltaica, aparecem como boas
alternativas para a produo de energia elctrica. Este aumento da procura dos sistemas
fotovoltaicos tem originado um constante desenvolvimento na busca de sistemas mais
compactos, eficientes e econmicos. Assim, nesta tese, ser apresentado um conversor
DC/AC para interligao de painis fotovoltaicos rede de energia domstica a 230V, 50 Hz.
Os sistemas de converso de energia fotovoltaica single-stage so um esquema atractivo
para se obter as caractersticas pretendidas, pelo que o novo conversor foi desenvolvido com
o objectivo de ser aplicado a este tipo de sistemas.





















v
Abstract
This document was written under the dissertation discipline, included in the 5th year of
the Integrated Masters Degree in Electrical and Computer Engineering, of the Faculty of
Engineering, at University of Porto, in the 2nd semester of the academic year 2008/2009.
Since its emergence and the discovery of its capacity to generate power, oil has been a
key element used to produce electricity. It is, however, a non-renewable element. It takes
thousands of years to be created, and once its used, it cannot be reset.
Renewable energies, including photovoltaics, are good alternatives for the production of
electricity. This increase in demand for photovoltaic systems has resulted in a constant
development in the search for the most compact, efficient and economic one. Thus, this
thesis will present and simulate a DC/AC converter, which connects photovoltaic panels to
domestic energy network up to 230V, 50 Hz.
Single-stage systems of photovoltaic energy conversion are an attractive scheme to
achieve the characteristics desired. This way, the new converter was developed in order to
be applied to such systems.











vii
Agradecimentos
Quero agradecer ao Professor Dr. Armando Lus Sousa Arajo pela competncia com que
orientou esta minha tese e o tempo que generosamente me dedicou transmitindo-me os
melhores e mais teis ensinamentos, com pacincia e compreenso.
Agradeo aos meus pais pela sua compreenso, dedicao, e apoio ao longo destes anos
do curso.
Quero tambm agradecer aos meus colegas de curso pelos anos inesquecveis passados e
cujo apoio e companheirismo permitiram concluir esta tese.















ix
ndice
Resumo ............................................................................................ iii
Abstract ............................................................................................. v
Agradecimentos .................................................................................. vii
ndice ............................................................................................... ix
Lista de figuras ................................................................................... xii
Lista de tabelas ................................................................................ xvii
Abreviaturas e Smbolos ..................................................................... xviii
Captulo 1 .......................................................................................... 1
Introduo ......................................................................................................... 1
1.1 - Objectivos do Trabalho ............................................................................... 2
1.2 - Estrutura do Trabalho ................................................................................. 3
Captulo 2 .......................................................................................... 5
Estado da Arte .................................................................................................... 5
2.1 - Sistemas Fotovoltaicos ................................................................................ 5
2.1.1 - Sistemas Fotovoltaicos Conectados Rede Elctrica ......................................... 6
2.1.2 - Sistemas Fotovoltaicos Hbridos .................................................................. 7
2.1.3 - Sistemas Fotovoltaicos Autnomos ............................................................... 8
2.1.3.1 Carga DC sem armazenamento de energia ................................................... 8
2.1.3.2 Carga DC com armazenamento de energia ................................................... 9
2.1.3.3 Carga AC sem armazenamento de energia ................................................. 10
2.1.3.4 Carga AC com armazenamento de energia ................................................. 10
2.2 - Esquemas de Princpio de Sistemas de Converso de Energia Fotovoltaica ............... 11
2.3 Topologias utilizadas em Sistemas Fotovoltaicos .............................................. 14
2.3.1 Central Inverters .................................................................................. 14
2.3.2 Module Integrated ou AC Module ............................................................... 15
2.3.3 Multi String Inverters ............................................................................. 16
2.3.4 Modular ou Team Concept ....................................................................... 17
2.4 Conversores Single-Stage ........................................................................... 18
2.5 - Conversores DC/DC .................................................................................. 19
2.5.1 - DC/DC No-Isolados ............................................................................... 21
2.5.1.1 Step-Down ou Buck ............................................................................. 21
2.5.1.2 Step-Up ou Boost ................................................................................ 23
2.5.1.3 Step-Up/Down ou Buck-Boost................................................................. 24
2.5.1.3.1 Modo de conduo contnua ................................................................ 26
2.5.1.3.2 Limite entre o modo de conduo contnua e descontnua ........................... 27
2.5.1.3.3 Modo de conduo descontnua ............................................................ 29
Captulo 3 ......................................................................................... 31
Modelao dos Painis Fotovoltaicos ....................................................................... 31
3.1 Introduo ............................................................................................ 31
3.2 Modelo Elctrico de Princpio de um Painel Fotovoltaico .................................... 32
3.3 Equaes Associadas a um Painel Fotovoltaico [34, 35] ...................................... 33
3.4 Efeitos dos factores meteorolgicos nas caractersticas elctricas dos mdulos
fotovoltaicos. ......................................................................................... 35
3.4.1 Radiao Solar ..................................................................................... 35
3.4.2 Temperatura ....................................................................................... 36
3.5 Implementao em PSIM

.......................................................................... 38
3.6 Resultados da simulao ........................................................................... 38
3.6.1 Variao da Radiao Solar ...................................................................... 39
3.6.2 Variao da Temperatura ........................................................................ 40
3.6.3 Concluso ........................................................................................... 41
3.7 Controlo Maximum Power Point Tracking - MPPT .............................................. 41
3.7.1 Mtodos de Controlo MPPT ...................................................................... 42
3.7.1.1 Mtodo Tenso Constante (CV) ............................................................... 42
3.7.1.2 Mtodo Perturbao e Observao (P&O) .................................................. 44
3.7.1.3 Mtodo Hill Climbing (HC) ..................................................................... 46
3.7.1.4 Mtodo Hill Climbing modificado (HC) ...................................................... 47
3.7.1.5 Condutncia Incremental (IncCond) ......................................................... 48
3.7.1.6 Concluses ....................................................................................... 50
Captulo 4 ......................................................................................... 53
Desenvolvimento de Conversor Single-Stage ............................................................. 53
4.1 Conversor Single-Stage ............................................................................. 53
4.2 Escolha da topologia [27] .......................................................................... 53
4.3 Modelao em espao de estados [28, 29] ...................................................... 55
4.3.1 Variveis de estado, entradas e sadas ........................................................ 55
4.3.2 Equaes de estado ............................................................................... 56
4.3.3 Obteno da Funo de Transferncia do Sistema .......................................... 58
4.4 Modelao do conversor proposto em espao de estados .................................... 62
Captulo 5 ......................................................................................... 83
Controlador MPPT .............................................................................................. 83
5.1 Introduo ............................................................................................ 83
5.2 Concluses ............................................................................................ 86
Captulo 6 ......................................................................................... 88
6.1 - Introduo ............................................................................................ 88
6.1 Malha de realimentao ............................................................................ 89
6.1.1 Estabilidade ........................................................................................ 91
6.2 Caractersticas dos Controladores ................................................................ 93
6.3 Tipos de Controladores ............................................................................. 95
6.3.1 Compensador por avano de fase ou PD ...................................................... 95
6.3.2 Compensador por atraso de fase ou PI ........................................................ 98
6.3.3 Compensador por avano e atraso de fase ou PID .......................................... 100
6.4 Implementao do controlador para o conversor proposto ................................. 101
6.4.1 Dimensionamento do controlador ............................................................. 102
6.4.2 Implementao do Compensador .............................................................. 105
6.4.3 Implementao da malha de realimentao ................................................ 106
6.5 Esquema do Controlador associado ao Conversor proposto ................................. 107
6.6 Teste do sistema proposto ........................................................................ 109
6.6.1 Valor da referncia de Corrente Constante ................................................. 111
xi
6.6.2 Controlo MPPT mantendo as condies de funcionamento dos painis constantes .. 116
6.6.3 Controlo MPPT com variao da Radiao Solar e Temperatura constante ............ 117
6.6.4 Controlo MPPT com variao da Temperatura e Radiao Solar constante ............ 119
6.7 Concluses ........................................................................................... 120
Captulo 7 ....................................................................................... 122
Concluses e Desenvolvimento Futuro .................................................................... 122
7.1 Concluses ........................................................................................... 122
7.2 Desenvolvimentos Futuros ......................................................................... 124
Referncias ..................................................................................... 125

Lista de figuras
Figura 2.1: Sistemas fotovoltaicos conectados rede elctrica. ..................................... 7
Figura 2.2: Sistemas fotovoltaicos hbridos. .............................................................. 8
Figura 2.3: Sistema fotovoltaico isolado com carga DC sem armazenamento de carga. ......... 9
Figura 2.4: Sistema fotovoltaico isolado com carga DC com armazenamento de carga. ......... 9
Figura 2.5: Sistema fotovoltaico isolado com carga AC sem armazenamento de carga. ........ 10
Figura 2.6: Sistema fotovoltaico isolado com carga AC e controlo MPPT. ......................... 10
Figura 2.7: Sistemas fotovoltaicos hbridos. ............................................................ 11
Figura 2.8: Curvas caractersticas de um painel fotovoltaico [5, 11]. ............................. 11
Figura 2.9: Power configurations for PV inverters [5]. ................................................ 12
Figura 2.10: Sistema de converso de energia fotovoltaico com DC/DC [5, 11]. ................ 13
Figura 2.11: Sistema de converso de energia fotovoltaico sem DC/DC [5, 11]. ................ 13
Figura 2.12: Central Inverter. ............................................................................. 15
Figura 2.13: Module Integrated. .......................................................................... 16
Figura 2.14: Multi String Inverter. ....................................................................... 17
Figura 2.15: Team Concept. ............................................................................... 18
Figura 2.16: Conversor DC/DC e forma de onda da tenso de sada. .............................. 20
Figura 2.17: Conversor DC/DC Step-Down ou Buck. ................................................... 21
Figura 2.18: Formas de onda associadas ao conversor DC/DC step-down ou Buck tpicas
nos modos de conduo contnua e descontnua. ............................................... 22
Figura 2.19: Conversor DC/DC step-up ou Boost. ...................................................... 23
Figura 2.20: Formas de onda tpicas do conversor DC/DC step-up ou Boost. ..................... 24
Figura 2.21: Conversor DC/DC step-up/down ou Buck-Boost [12]. ................................. 25
xiii
Figura 2.22: Formas de onda do modo de conduo contnua (i
L
> 0) [10]. ....................... 26
Figura 2.23: a) T on; b) T [10]. ............................................................................ 27
Figura 2.24: Conversor buck-boost : Limite entre o modo de conduo contnua e
descontnua [10]. ...................................................................................... 28
Figura 2.25: Formas de onda do modo de conduo descontnua [10]. ............................ 29
Figura 2.26: Caractersticas do conversor buck-boost mantendo V
out
constante [10]. .......... 30
Figura 3.1: Curvas caractersticas de um painel fotovoltaico ....................................... 32
Figura 3.2: Circuito equivalente do painel fotovoltaico conectado a uma carga: (a) modelo
ideal e (b) modelo real. .............................................................................. 33
Figura 3.3: Curva I/V em funo da radiao solar .................................................... 36
Figura 3.4: Variao da temperatura na curva I/V de um painel fotovoltaico. .................. 37
Figura 3.5: Curva caracterstica P vs V para a variao de temperatura e radiao solar. .... 37
Figura 3.6: Modelo elctrico implementado em PSIM

. ............................................... 38
Figura 3.7: Curva I/V em funo da radiao solar .................................................... 39
Figura 3.8: Curva de potncia em funo da radiao solar ......................................... 39
Figura 3.9: a) Curva I/V em funo da temperatura; b) Curva I/V fornecida pelo
fabricante .............................................................................................. 40
Figura 3.10: Curva de potncia em funo da temperatura ......................................... 40
Figura 3.11: Bloco de Simulao implementado em PSIM

........................................... 41
Figura 3.12: Simulao do mtodo de Tenso Constante (CV) para variao da radiao
solar. .................................................................................................... 43
Figura 3.13: Sinal do dP/dV em posies diferentes sobre a curva da potncia. ................ 44
Figura 3.14: Fluxograma do mtodo P&O. .............................................................. 45
Figura 3.15: Simulao do mtodo de P&O para variao da radiao solar. .................... 45
Figura 3.16: Algoritmo MPPT Hill Climbing. ............................................................ 46
Figura 3.17: Simulao do mtodo Hill Climbing com variao da radiao solar. .............. 47
Figura 3.18: Algoritmo MPPT Hill Climbing modificado. .............................................. 48
Figura 3.19: Algoritmo MPPT Condutncia Incremental. ............................................. 49
Figura 3.20: Simulao do mtodo Condutncia Incremental com variao da radiao
solar. .................................................................................................... 50
Figura 4.1: Conversor proposto [27] ...................................................................... 54
Figura 4.2: Diagrama de blocos de sistema linear, contnuo no tempo, representado no
espao de estados [31]. .............................................................................. 58
Figura 4.3: Estados I e III do conversor proposto ....................................................... 63
Figura 4.4: Estados II e IV do conversor proposto ...................................................... 64
Figura 4.5: Circuito simplificado equivalente do Conversor proposto.............................. 68
Figura 4.6: Diagrama de blocos do circuito equivalente simplificado. ............................. 68
Figura 4.7: Diagrama de blocos do controlo clssico .................................................. 69
Figura 4.8: Circuito de simulao de valores instantneos em PSIM. .............................. 70
Figura 4.9: Simulao do modelo de mdia em Simulink. ........................................... 70
Figura 4.10: (a) Modelo de comutao para conversores de altas-frequncias. (b) modelo
aproximado mdia de comutao para conduo contnua com duty-cycle d, usando
fontes controladas. (c) modelo aproximado mdia de comutao usando
transformador ideal. [28] ............................................................................ 71
Figura 4.11: Circuito de simulao do modelo de mdia de conversor em PSIM. ................ 71
Figura 4.12: Resposta do circuito instantneo (Vo) e do circuito de mdia (Vo_media). ...... 72
Figura 4.13: Respostas obtidas com o modelo da mdia para diferentes valores de tenso
de entrada, v
in
: (a) PSIM; (b) Simulink ............................................................ 73
Figura 4.14: Respostas obtidas com o modelo da mdia para diferentes valores de carga,
R: (a) PSIM; (b) Simulink, R=2 (amarela), R=10 (roxa) e R=20 (azul)................... 73
Figura 4.15: Modelo de mdia de comutao linearizado para conversores de altas-
frequncias [28]. ...................................................................................... 74
Figura 4.16: Circuito de simulao do modelo de linearizao do conversor em PSIM. ......... 75
Figura 4.17: Simulao da linearizao do modelo de mdia no Simulink. ....................... 76
Figura 4.18: Respostas obtidas quando se aumenta o ciclo activo d
~
=0.01: (a) no modelo
de mdia em PSIM e no circuito de linearizao em PSIM; (b) no modelo linearizado
em espao de estados no Simulink. ................................................................ 77
Figura 4.19: Respostas obtidas quando se aumenta o ciclo activo d
~
=-0.01: (a) no modelo
de mdia em PSIM e no circuito de linearizao em PSIM; (b) no modelo linearizado
em espao de estados no Simulink. ................................................................ 77
Figura 4.20: Respostas obtidas quando se introduz uma perturbao na tenso de entrada,
V v
in
3
~
= no modelo de mdia em PSIM e no circuito de linearizao do conversor em
PSIM. ..................................................................................................... 78
Figura 4.21: Respostas obtidas quando se introduz uma perturbao na tenso de entrada,
V v
in
3
~
= no modelo de mdia em PSIM e no circuito de linearizao do conversor
em PSIM. ................................................................................................ 78
Figura 4.22: Simulao da funo de transferncia T
p
(s) em Simulink. ........................... 78
Figura 4.23: Resultados obtidos na simulao da funo de transferncia, variando o ciclo
activo: d
~
=0.01 (a), e d
~
=-0.01 (b). .............................................................. 79
xv
Figura 4.24: Respostas obtidas quando se perturba o ciclo activo d
~
=0.01: (a) no modelo
de mdia em PSIM e no circuito de linearizao em PSIM; (b) no modelo linearizado
em espao de estados no Simulink. ................................................................ 80
Figura 4.25: Respostas obtidas quando se perturba o ciclo activo d
~
=-0.01: (a) no modelo
de mdia em PSIM e no circuito de linearizao em PSIM; (b) no modelo linearizado
em espao de estados no Simulink. ................................................................ 81
Figura 5.1: Algoritmo MPPT implementado [27] ....................................................... 84
Figura 5.2: Esquema do detector de mximo e algoritmo MPPT implementado [27]............ 85
Figura 6.1: Conversor proposto com malha de realimentao....................................... 89
Figura 6.2: Diagrama de blocos de uma malha de realimentao. ................................. 90
Figura 6.3: Diagrama de blocos de uma malha de realimentao. ................................. 90
Figura 6.4: Definio de margem de fase e margem de ganho. ..................................... 92
Figura 6.5: Relao entre o coeficiente de amortecimento e margem de fase. ................. 94
Figura 6.6: Resposta ao degrau para diferentes Q. .................................................... 94
Figura 6.7: Diagrama de Bode de um compensador por avano de fase. .......................... 96
Figura 6.8: Relao entre a fase e as frequncias de um compensador por avano. ............ 97
Figura 6.9: Diagrama de Bode de um compensador por atraso de fase tpico. ................... 98
Figura 6.10: Diagrama de Bode de
( ) ) ( 1
1
s T +

de um sistema com compensador por atraso
de fase. ................................................................................................ 100
Figura 6.11: Diagrama de Bode de um compensador por avano e atraso de fase tpico. ..... 101
Figura 6.12: Lugar das Razes de T
i
(s). ................................................................. 104
Figura 6.13: Diagrama de Bode de T
i
(s). ............................................................... 104
Figura 6.14: Diagrama de Bode de T
i
(s) e G
c
(s). ..................................................... 105
Figura 6.15: Lugar geomtrico de razes, e diagrama de bode em malha fechada ............. 106
Figura 6.16: Resposta ao degrau unitrio do sistema em malha fechada......................... 107
Figura 6.17: Rejeio ao rudo do sistema em malha fechada. .................................... 107
Figura 6.18: Esquema de controlo do conversor proposto ........................................... 108
Figura 6.19: Ponte H ....................................................................................... 109
Figura 6.20: Modelo do conversor desenvolvido implementado em PSIM

....................... 110
Figura 6.21: Corrente na bobina L
1
...................................................................... 111
Figura 6.22: Tenso no condensador V
C1
................................................................ 112
Figura 6.23: Corrente na bobina L
2
...................................................................... 112
Figura 6.24: (a) Corrente sada do conversor, i
L
; (b) Tenso sada do conversor; ............ 113
Figura 6.25: Erro Absoluto entre a corrente de referncia e a corrente obtida e a sua
mdia ................................................................................................... 113
Figura 6.26: Tenso no condensador C
2
................................................................. 114
Figura 6.27: Mximo THD da Corrente .................................................................. 115
Figura 6.28: Harmnicos de corrente de sada do conversor ....................................... 115
Figura 6.29: (a) Corrente sada do conversor, i
L
; (b) Potncia do painel; (c) ndice de
modulao, M. ........................................................................................ 116
Figura 6.30: Radiao solar aplicada aos painis fotovoltaicos .................................... 117
Figura 6.31: Variao da radiao solar com a temperatura constante: (a) Corrente
sada do conversor, i
L
; (b) Potncia do painel; (c) ndice de modulao, M. ............. 118
Figura 6.32: Temperatura aplicada aos painis fotovoltaicos ...................................... 119
Figura 6.33: Variao da temperatura com a radiao solar constante: (a) Corrente
sada do conversor, i
L
; (b) Potncia do painel; (c) ndice de modulao, M. ............. 119


xvii
Lista de tabelas

Tabela 2.1: Sumrio das principais normas que regulamentam a ligao rede de sistemas
fotovoltaicos [11]. .................................................................................... 19
Tabela 3.1: Caractersticas do Painel Fotovoltaico .................................................... 38
Tabela 4.1: Variveis para o modelo em espao de estados. ........................................ 62
Tabela 4.2: Estados de funcionamento associados ao conversor desenvolvido. .................. 63

Abreviaturas e Smbolos
MPP Maximum Power Point
MPPT Maximum Power Point Tracker
PV Photovoltaic
PWM - Pulse Width Modulation
THD - Total Harmonic Distortion
AC - Tenso\corrente alternada
DC - Tenso\corrente continua
C
m
Parmetro constante para o mtodo modificado Hill Climbing
I
MPPT
Corrente no ponto de potncia mxima
I
sc
Corrente de curto-circuito
J
0
Coeficiente de temperatura da corrente do painel
M ndice de modulao da onda de corrente
V
MPPT
Tenso do painel no ponto de potncia mxima
V
OC
- Tenso de circuito aberto
F
S
Frequncia de Comutao
T
S
Intervalo de Comutao
T
ON
Intervalo de Conduo Interruptor
A - Ampere
D - Ciclo activo ou duty-cycle
dB - Decibel
F - Farad
f
c
- Frequncia de travessia
H - Henry
Hz - Hertz
I
o
- Corrente de sada
- Grau
rad - Radianos
s - Segundo
xix
V - Volt
V
in
- Tenso de entrada
V
O
- Tenso de sada
W - Watt
- Coeficiente de amortecimento
- Constante de tempo

m
- Margem de fase
- Frequncia angular
- Ohm

C
- Frequncia angular de travessia
q Carga do electro (1.602x10
-19
)
K Constante de Boltzman (1.38x10
-23
)





Captulo 1

Introduo
A busca pela dominao de fontes de energia pelo homem comeou em torno de 500.000
anos atrs, quando o homem primitivo passou a controlar o fogo, aproveitando dessa forma
de energia para aquec-lo, afastar predadores e preparar alimentos. Desde ento o homem
foi descobrindo novas fontes e formas de energia e criando dispositivos para utiliz-las a seu
favor. As fontes de energia passaram a ser vital para sobrevivncia e evoluo do homem.
Portanto, devido a grande importncia da energia para a humanidade nos dias de hoje, so
incessantes as pesquisas que visam o desenvolvimento e aprimoramento de tcnicas de
obteno de energia, com o objectivo principal de conciliar grande capacidade de gerao,
baixo custo e um mnimo impacto ao meio ambiente.
O Sol a mais importante fonte de energia do nosso planeta. Ele fornece 1,5x1018 kWh
de energia anualmente Terra nas formas de luz e calor, energia correspondente a 10.000
vezes o consumo mundial no mesmo perodo. ainda uma fonte de energia inesgotvel na
escala terrestre de tempo [1].
Actualmente, a forma de energia mais importante a elctrica que pode ser transportada
ininterruptamente a longas distncias, distribuda a diversos pontos simultaneamente e
convertida nas mais diversas formas de energia como luminosa, mecnica, qumica e trmica.

O Efeito Fotovoltaico que transforma directamente a energia luminosa solar em corrente
elctrica concilia a fonte mais importante, o Sol, com a principal forma de energia, a
elctrica. Relatado por Edmond Becquerel, em 1839, o Efeito Fotovoltaico pode ser explicado
sucintamente como o aparecimento de uma diferena de potencial nos extremos de uma
estrutura de material semicondutor, produzida pela absoro da luz. Essas estruturas de
material semicondutor so denominadas de Clulas Fotovoltaicas [2].
As Clulas Fotovoltaicas so as unidades bsicas de gerao de energia, elas podem ser
conectadas entre si, formando os painis fotovoltaicos, que so os dispositivos utilizados na

2
prtica para gerao de energia. Uma desvantagem deste tipo de geradores elctricos o
facto de a sua eficincia energtica ser baixa, rondando os 15%, ao mesmo tempo que o
investimento necessrio para adquirir este tipo de geradores elevado. A conjugao destas
caractersticas leva a que o retorno de investimento de sistemas fotovoltaicos demore
bastantes anos, tornando-o pouco atractivo.
As primeiras aplicaes destes dispositivos foram para satisfazer a necessidade de gerao
de energia no espao em satlites artificiais, sondas, naves e estaes espaciais.
Progressivamente com a queda no custo de produo dos painis o seu uso estendeu-se a
aplicaes terrestres, inicialmente em locais isolados distantes da rede elctrica [1], [2].
Actualmente utiliza-se a energia fotovoltaica nas mais diversas reas com o objectivo de
gerao de energia elctrica aliada s seguintes vantagens: simplicidade de instalao,
facilidade de expanso, elevado grau de confiabilidade do sistema, reduo das perdas por
transmisso de energia devido proximidade entre gerao e consumo e pouca necessidade
de manuteno. Alm disso, os sistemas fotovoltaicos so fontes silenciosas e no poluentes
de gerao de energia elctrica.
O custo da produo de energia elctrica atravs de painis fotovoltaicos ainda muito
elevado em comparao com outros mtodos de gerao de energia elctrica o que
inviabiliza muitas vezes a sua aplicao. Contudo, para contrariar esta caracterstica a
comunidade cientfica tem realizado diversos estudos com vista ao desenvolvimento de
painis mais eficientes a um custo menor, um sistema de gerao de energia fotovoltaica
para tornar-se mais difundido depende tambm do desenvolvimento de equipamentos
complementares que tornem os sistemas mais versteis, ou seja adaptando-os as mais
diversas aplicaes, e eficientes, aproveitando melhor a energia gerada pelos painis [3].
Assim, esta tese apresenta a simulao de um novo sistema de converso de energia
fotovoltaica com interligao rede domstica de energia, 230 V 50Hz, tendo o seu
desenvolvimento sido apoiado pelos softwares de simulao PSIM

e Matlab

.

1.1 - Objectivos do Trabalho

No desenvolvimento deste trabalho, que se centra na continuao do trabalho
desenvolvido pelo aluno Andr Nelson Matias e Silva na sua tese de mestrado, intitulada
Sistema de Converso de Energia Solar Fotovoltaica para Interligao Rede Domstica de
Energia Elctrica [27], realizada no 2 semestre de 2008 e orientada pelo Professor Dr.
Armando Lus Sousa Arajo, ser estudado um conversor baseado na topologia Buck-Boost
para aplicao em sistemas de converso de energia fotovoltaica single-stage, com ligao
rede domstica de energia elctrica, 230 V 50 Hz.
Foram determinados os seguintes objectivos:

3


I. Estudo da topologia de converso e determinao dos valores dos componentes
associados.
II. Implementao e testes da parte de potncia do conversor.
III. Apresentar um modelo matemtico, linearizado, para as etapas do Conversor,
obtendo-se a funo de transferncia para auxiliar o projecto da malha de controlo.
IV. Simulao e teste do controlador PWM e Hill-Climbing.
V. Testes finais e validao.
1.2 - Estrutura do Trabalho

Neste trabalho, os diferentes passos efectuados na realizao do conversor DC/AC para
aplicao a sistemas de converso de energia fotovoltaica para ligao rede domstica de
energia elctrica est dividido em cinco captulos.
No Captulo 1 faz-se uma breve introduo s principais fontes de energia, dando maior
importncia energia Fotovoltaica.
O Captulo 2 faz referncia ao estado de arte, sendo apresentados de uma forma genrica
os ltimos desenvolvimentos nos sistemas de converso de energia fotovoltaica, os
conversores single-stage usados neste gnero de sistemas e os controladores mais utilizados.
O Captulo 3 faz referncia modelao dos painis fotovoltaicos, sendo apresentadas
curvas caractersticas do painel e todas as equaes necessrias para o caracterizar. No final
so apresentados os resultados do modelo desenvolvido com o software de simulao PSIM e
feita a comparao com a folha de caractersticas do fabricante.
No Captulo 4, faz-se um estudo do conversor DC/DC mais adequado para este sistema de
alimentao e os pormenores associados s suas caractersticas principais. tambm
realizada a modelao em espao de estados e obteno da funo do sistema proposto.
O controlador MPPT de modo a permitir obter do painel fotovoltaico o mximo de
potncia possvel para cada instante descrito no Captulo 5.
Uma breve anlise malha de realimentao e aos vrios tipos de controladores, seguida
da implementao e testes de um controlador apropriado, ser apresentada no Capitulo 6.
Termina-se este documento no Captulo 7, com as concluses obtidas no decurso do
trabalho sendo propostas sugestes para trabalhos futuros com o objectivo de
aperfeioamento do conversor desenvolvido.




4



Captulo 2
Estado da Arte
2.1 - Sistemas Fotovoltaicos

A Energia crucial para a economia e a prosperidade mundial. Mas o mundo da energia
est mudando de maneira dramtica. O aumento exponencial do consumo de energia
elctrica nas ltimas dcadas acompanhado com o crescente uso de combustveis fsseis
como o petrleo, gs natural e carvo tm sido alvo de elevada preocupao pela
comunidade internacional.
Num cenrio dos mais optimistas, adicionando os 2,5% de declnio da produo devido
constatao da inevitabilidade da escassez crescente e permanente de petrleo aos 2,0% do
aumento da procura anual [4, 5], a cada ano haver menos 4,5% de petrleo, no mnimo,
numa economia sempre crescente. Isto significa menos 45% de petrleo, no mnimo, numa
dcada [6, 7].
Em paralelo com o problema da taxa de crescimento no consumo de energia elctrica e
com o uso de combustveis fsseis para a produo de energia elctrica, a comunidade
internacional, sabendo dos problemas ambientais que atingem neste momento a Terra, tem-
se empenhado em encontrar uma soluo global para alterar o caminho seguido at agora.
Para obter mais energia elctrica sem recorrer a combustveis fsseis, a soluo adoptada
tem sido a de recorrer s energias renovveis. As fontes de energia renovveis esto
disponveis em toda a parte do mundo, so sustentveis, no destroem o clima e podem
cobrir o consumo mundial.
O desenvolvimento de novos parques elicos e fotovoltaicos, bem como alterao da
legislao para criar incentivos que levem ao aparecimento de novos sistemas
descentralizados de gerao de energia elctrica, tm sido as principais medidas tomadas

6
com o objectivo do aumento da capacidade instalada de gerao de energia baseada em
energias renovveis.
A crescente procura das energias renovveis, levou a um grande investimento por parte
da comunidade cientfica no sentido de tornar economicamente vivel o uso de energia
fotovoltaica, quer pelo aparecimento de novos materiais permitindo painis fotovoltaicos
com superior eficincia energtica, quer pelos avanos obtidos nos sistemas de converso de
energia permitindo eficincias superiores e uma reduo significativa nos investimentos
necessrios para implementar um sistema deste gnero [8].
A energia Fotovoltaica possui alta fiabilidade, apresenta um custo de operao reduzido,
fcil portabilidade e adaptabilidade dos mdulos, alm de que apresenta qualidades
ecolgicas.
Por outro lado o uso de painis fotovoltaicos para a produo de energia elctrica para a
rede, nunca havia sido considerado devido ao seu elevado preo do fabrico dos mdulos
fotovoltaicos que necessitam de tecnologia muito sofisticada necessitando de um custo de
investimento elevado e devido baixa eficincia energtica dos painis fotovoltaicos (os mais
usuais rondam os 15%). Finalmente os geradores fotovoltaicos raramente so competitivos do
ponto de vista econmico, face a outros tipos de geradores. A excepo restringe-se a casos
onde existam reduzidas necessidades de energia em locais isolados e/ou em situaes de
grande preocupao ambiental.

Os sistemas fotovoltaicos podem ser divididos em 3 principais categorias:
Sistemas conectados rede (Grid connection);
Sistemas hbridos (Hybrid System);
Sistemas isolados (Stand Alone).

A opo por qualquer uma das configuraes, acima citada, depender da aplicao do
sistema e da disponibilidade dos recursos energticos.
Cada configurao poder ser de complexidade varivel e fazer uso de diferentes
nmeros de componentes e fontes geradoras.

2.1.1 - Sistemas Fotovoltaicos Conectados Rede Elctrica

Estes sistemas geralmente utilizam um nmero elevado de painis fotovoltaicos, e no
utilizam armazenamento de energia, pois toda a gerao entregue directamente rede.
Representa uma fonte complementar ao sistema elctrico de grande porte ao qual est
conectada.
O conjunto de painis fotovoltaicos conectado directamente a inversores e logo em
seguida rede elctrica. Sistemas como este esto a tornar-se cada vez mais populares,

7

principalmente nos pases europeus onde as grandes companhias elctricas utilizam a gerao
distribuda para evitar a extenso de algumas e a construo de outras redes elctricas.
Estes sistemas exigem certa complexidade no projecto dos inversores, uma vez que
devem satisfazer as exigncias de qualidade e segurana para que a rede no seja afectada.


Figura 2.1: Sistemas fotovoltaicos conectados rede elctrica.

2.1.2 - Sistemas Fotovoltaicos Hbridos

Os sistemas hbridos apresentam mais de uma fonte de gerao de energia como, por
exemplo: turbinas elicas, gerao diesel, clulas de combustvel, mdulos fotovoltaicos
entre outras.
Com a utilizao de vrias formas de gerao de energia elctrica o tamanho do conjunto
de painis fotovoltaico pode ser reduzido, juntamente com a capacidade do banco de
baterias, pois a outra (ou outras) forma de gerao garante o fornecimento de energia
elctrica para a carga durante a noite ou em perodos de pouca insolao.
Esta configurao torna-se complexa, pois necessita de um controlo para optimizao do
uso de todas as fontes de energia para se obter uma mxima eficincia na entrega da energia
para o utilizador final. Um diagrama de blocos de um sistema hbrido representado na
Figura 2.8:


8

Figura 2.2: Sistemas fotovoltaicos hbridos.

2.1.3 - Sistemas Fotovoltaicos Autnomos

Nesta configurao a carga suprida pelos painis fotovoltaicos. utilizada em situaes
em que a extenso da rede elctrica ou o uso de outra forma de gerao de energia se torna
muito dispendiosa.
Como se pode ver de [32, 38] para pequenas localidades distantes mais de 3Km da rede
convencional de energia elctrica, a gerao atravs de painis fotovoltaicos a opo mais
vantajosa.
Os sistemas isolados podem ser divididos em 4 grupos, de acordo com a carga a ser
provida e a utilizao ou no de armazenamento de energia:

Carga DC sem armazenamento de energia;
Carga DC com armazenamento de energia;
Carga AC sem armazenamento de energia;
Carga AC com armazenamento de energia;

2.1.3.1 Carga DC sem armazenamento de energia

Esta a configurao mais simples de um sistema isolado, onde a carga DC
directamente conectada sada do mdulo e nenhum sistema de condicionamento ou
armazenamento de energia utilizado.
Este sistema geralmente empregue em sistemas de bombagem de gua, em que no h
necessidade de um fornecimento contnuo de energia.

9

Este modo de configurao do sistema apresenta o problema de no aproveitar toda a
capacidade de fornecimento de potncia do conjunto fotovoltaico, pois a alterao das
condies climatricas desloca o ponto de mxima potncia sobre a curva IxV, e sem um
sistema que garanta o funcionamento sobre esse ponto, a eficincia do mesmo ser reduzida.
A alternativa para melhorar o desempenho a utilizao de um sistema de controlo MPPT
de energia que garanta o funcionamento do sistema sobre o ponto onde a mxima potncia
alcanada.


Figura 2.3: Sistema fotovoltaico isolado com carga DC sem armazenamento de carga.

2.1.3.2 Carga DC com armazenamento de energia

Esta configurao utilizada em casos em que se deseja fornecer energia elctrica s
cargas sem a gerao fotovoltaica simultnea, como por exemplo: iluminao, televisor e
sistemas de comunicao. O excedente de energia que gerada durante o dia armazenado
em baterias para que possa ser utilizada durante a noite ou em perodos de pouca
luminosidade.


Figura 2.4: Sistema fotovoltaico isolado com carga DC com armazenamento de carga.

A esta configurao deve ser agrupado um controlador de carga, para proteger o banco de
baterias contra sobrecargas ou grandes descargas, aumentando assim a vida til das baterias.


10
2.1.3.3 Carga AC sem armazenamento de energia

Este sistema usado quando equipamentos AC so utilizados como carga. Para isto basta
a incluso de inversor entre o sistema de gerao fotovoltaica e a carga, como visto na Figura
2.8. A carga ser provida de energia elctrica apenas durante os perodos de insolao.


Figura 2.5: Sistema fotovoltaico isolado com carga AC sem armazenamento de carga.

Esta configurao tem o seu desempenho prejudicado, uma vez que no h um controlo
de tenso no barramento DC, a qual fica dependente do nvel de tenso gerada pelos painis
fotovoltaicos, que funo das variveis climticas, temperatura e radiao solar.
Para melhorar a eficincia pode ser includo entre o conjunto fotovoltaico e o inversor um
estgio DC/DC e um banco capacitivo, proporcionando o controlo da tenso no barramento
DC. Este estgio possibilita ainda o funcionamento do sistema sob o ponto de mxima
potncia, garantindo um melhor aproveitamento da energia fornecida pelos mdulos
fotovoltaicos.


Figura 2.6: Sistema fotovoltaico isolado com carga AC e controlo MPPT.

2.1.3.4 Carga AC com armazenamento de energia

Esta configurao diferente da anterior, pois possui um banco de baterias que armazena
a energia que produzida em excesso pelos mdulos fotovoltaicos durante o dia, permitindo
a utilizao de cargas durante a noite ou em perodos de baixa luminosidade.
Este sistema muito utilizado em residncias isoladas, que utilizam electrodomsticos
convencionais.


11


Figura 2.7: Sistemas fotovoltaicos hbridos.

Para esta configurao, o estgio DC/DC, alm de garantir um controlo da tenso do
barramento DC de entrada do inversor e o funcionamento do sistema sob o ponto de mxima
potencia, deve garantir uma carga eficiente do banco de baterias e proteger contra
sobrecargas e grandes descargas.

Ainda neste Capitulo 2 apresenta-se as evolues sofridas nos ltimos anos, nos sistemas
fotovoltaicos com nfase no sistema de converso de energia. Foca-se a introduo aos
conversores DC/DC e a apresentao dos algoritmos MPPT mais usuais.

2.2 - Esquemas de Princpio de Sistemas de Converso de Energia
Fotovoltaica

O esquema adoptado para a interligao dos painis fotovoltaicos rede tem sofrido
constantes evolues, permitindo assim reduzir a quantidade de componentes necessrios e
atenuar o grande investimento inicial para o sistema de converso de energia [5].
O Efeito Fotovoltaico consiste fundamentalmente, na transformao da energia que
proporcionam os fotes (partculas de luz) incidentes sobre os materiais semicondutores em
energia elctrica. A Figura 2.8 mostra a curva caracterstica genrica da corrente, I
PV,
em
relao a variao da tenso genrica, U
PV,
de um painel fotovoltaico.


Figura 2.8: Curvas caractersticas de um painel fotovoltaico [5, 11].

12

Na Figura 2.8, i
SC
a corrente de curto-circuito e representa a mxima corrente que o
dispositivo pode entregar sob determinadas condies de radiao e temperatura com tenso
nula. A u
OC
a tenso de circuito aberto e representa a mxima tenso que o dispositivo pode
entregar sob determinadas condies de radiao e temperatura com corrente nula.
desejvel que o painel funcione no ponto (u
MPP
, i
MPP
) de forma a fornecer o mximo de
potncia para a rede. Este corresponde ao ponto da curva no qual o produto da tenso pela
corrente mximo. A corrente i
MP
relativa ao ponto de mxima potncia e que utilizado
para definir o valor da corrente nominal do dispositivo e a tenso u
MP
a tenso relativa ao
ponto da mxima potncia e que utilizada para definir o valor da tenso nominal do
dispositivo.
O painel fotovoltaico fornece uma tenso e corrente DC, no podendo estes sinais ser
directamente injectados na rede elctrica de energia. Assim necessrio um sistema de
converso de energia que, a partir das variveis elctricas do painel fotovoltaico, gere um
sinal de corrente e tenso com as caractersticas necessrias para injectar na rede.
Os sistemas de converso de energia tm evoludo muito durante os ltimos anos [9]. Na
Figura 2.10 apresenta-se as vrias configuraes dos inversores fotovoltaicos.



Figura 2.9: Power configurations for PV inverters [5].

A utilizao ou no do andar com o conversor DC/DC relacionada com a configurao
dos sistemas de converso. Tendo mais painis fotovoltaicos em srie e uma tenso mais
baixa, possvel evitar a funo boost do conversor DC/DC. Neste caso ser possvel a
utilizao de um inversor fotovoltaico single-stage conduzindo a uma eficincia mais elevada.
A introduo do isolamento relacionada principalmente com segurana.
O sistema de converso de energia mais utilizado o apresentado na Figura 2.10.


13


Figura 2.10: Sistema de converso de energia fotovoltaico com DC/DC [5, 11].

Os painis fotovoltaicos usados para a produo de energia elctrica para a rede,
apresentam tenses de sada que oscilam entre os 23 e 38 V. Sendo a tenso da rede uma
onda sinusoidal com valor de pico de 320 V 5%, o conversor DC/AC, para modular uma onda
de tenso com as caractersticas necessrias para injectar corrente na rede, necessita de um
barramento DC entrada com, no mnimo, 360 VDC.
O conversor DC/DC do esquema da Figura 2.11, tem como objectivo aumentar a tenso
vinda do painel fotovoltaico, criando um barramento DC com as caractersticas pretendidas
para o conversor DC/AC. O conversor tem tambm como objectivo paralelo, controlar a
potncia fornecida pelo painel fotovoltaico, usando um mtodo MPPT apropriado.
O andar DC/AC tem como objectivo gerar uma onda de tenso sinusoidal a 50 Hz, com
nvel de amplitude e desfasamento necessrios, de modo a injectar uma onda de corrente
sinusoidal e assim permitir transitar a potncia dos painis fotovoltaicos para a rede elctrica
[10].
A utilizao deste tipo de conversores para aplicaes modulares levaria a um sistema
muito volumoso, devido quantidade de elementos e, como consequncia, muito
dispendioso. Por outro lado um sistema deste gnero apresenta baixa eficincia de
converso, pela necessidade de dois conversores, tornando pouca atractiva a sua
implementao.
Na Figura 2.11 apresenta-se o esquema sem o conversor DC/DC, que tem vindo a ser
desenvolvido na ltima dcada, com o objectivo de reduzir o nmero de componentes do
sistema de converso de energia e assim o investimento necessrio, enquanto se aumenta o
rendimento do sistema de converso.


Figura 2.11: Sistema de converso de energia fotovoltaico sem DC/DC [5, 11].

Como se pode verificar pela anlise da mesma, um sistema de converso de energia
composto por um nico andar, sendo este tipo de sistema denominado, sistema Single
Stage. Este andar ser o responsvel por manter o painel fotovoltaico a fornecer a potncia

14
mxima e por gerar uma onda de corrente sinusoidal, normalmente, em fase com a rede para
maximizar o trnsito de potncia activa [5].

2.3 Topologias utilizadas em Sistemas Fotovoltaicos

Nos ltimos anos vrios sistemas de converso de energia tem sido desenvolvidos de
forma a reduzir custos e aumentar a eficincia do sistema de converso de energia. A
configurao dos painis fotovoltaicos e os estgios de converso que compem o sistema de
gerao elctrica podem ser classificados em quatro famlias topolgicas: Central Inverters,
Module Integrated ou Module Oriented Inverters, Multi String Inverters e Modular ou Team
Concept.

2.3.1 Central Inverters

Esta topologia foi a primeira a ser utilizada em sistemas fotovoltaicos, e continua
actualmente a ser utilizada em grande escala [39], sendo caracterizada por possuir um nico
inversor, responsvel pela inverso da corrente DC.
Nesta configurao todos os painis do sistema so ligados em srie, criando uma fonte de
tenso elevada e, posteriormente ligada via conexo DC ao inversor [40].
A grande desvantagem desta topologia a utilizao de apenas um sistema de controlo do
ponto de mxima potncia (MPPT). Daqui resulta uma baixa eficincia em sistemas de grande
potncia, onde a rea utilizada pelos painis fotovoltaicos elevada o que estabelece que as
condies de radiao solar e de temperatura de cada painel sejam diferentes, influenciando
a eficincia energtica do sistema como um todo, pois no possvel optimizar
individualmente cada um dos painis fotovoltaicos [5]. Caso o conversor falhe, todo o sistema
pra, no existindo nenhuma alternativa para continuar a fornecer potncia rede.
A utilizao de cabos com corrente DC tambm uma desvantagem desta topologia pois
reduz a segurana das instalaes [40]. Porm este um sistema robusto [39] e de elevado
rendimento.


15



Figura 2.12: Central Inverter.

2.3.2 Module Integrated ou AC Module

Nesta topologia o sistema conectado directamente a apenas um painel fotovoltaico [40]
ou a um pequeno grupo de painis, formando um conjunto at 500W com tenso entre 30 a
150V.
Posteriormente todos os inversores so ligados em paralelo e colocados junto carga. A
baixa tenso de entrada do sistema exige a utilizao de um transformador ou conversor
elevador para o ajuste da tenso de sada de acordo com as exigncias da carga [39],
elevando os custos do sistema.
A vantagem desta topologia em relao Central Inverter a possibilidade de utilizao
de um sistema MPPT para cada painel ou um pequeno grupo de painis, maximizando assim a
potncia entregue carga e elevando a simplicidade de projecto e instalao, pois pode ser
utilizado um conversor padro para todo o sistema. Esta padronizao do sistema leva
tambm a uma reduo dos custos do sistema, pois os conversores podem ser produzidos em
larga escala.


16

Figura 2.13: Module Integrated.

2.3.3 Multi String Inverters

Esta topologia apresenta conversores DC/DC de baixa potncia directamente conectados
a pequenos conjuntos de painis fotovoltaicos. Estes conversores so conectados em serie e
posteriormente ligados a nico inversor [39, 40].
Cada conjunto de painis fotovoltaicos possui o seu prprio sistema MPPT, maximizando a
energia entregue carga e possibilitando a instalao dos painis fotovoltaicos em diferentes
orientaes, facilitando a sua instalao em diferentes locais, como numa fachada de
prdios.
Como os conversores DC/DC so de baixa potncia, os semicondutores de baixa potncia
que so largamente utilizados na indstria podem ser aplicados, reduzindo os custos.
A facilidade de expanso do sistema utilizando esta topologia uma das suas principais
caractersticas. Para expandir, apenas um novo conjunto de painis fotovoltaicos e o
respectivo conversor DC/DC, devem ser adicionados [39, 40], desde que o inversor utilizado
na sada dos conversores suporte o acrscimo de potncia.
Na Figura 2.14 est representado o esquema Multi String.


17


Figura 2.14: Multi String Inverter.

2.3.4 Modular ou Team Concept

A topologia modular ou team concept, representada no esquema da Figura 2.14, procura
o melhor aproveitamento da eficincia dos inversores atravs da sua utilizao prximo da
potncia nominal para a qual foi projectada.
Nesta topologia os painis fotovoltaicos so ligados a conversores DC/AC como na
topologia module integrated, mantendo a vantagem de cada grupo de painis possuir o seu
prprio controlo MPPT.
Quando o sistema opera sob baixa radiao solar todos os painis so ligados a um nico
inversor, fazendo com que este trabalhe prximo da sua potncia nominal, aumentando a sua
eficincia [39]. Com o aumento da radiao solar o conjunto de painis fotovoltaicos
distribudo novamente em pequenas strings, at que todos os inversores operem
normalmente.
Esta topologia aproveita as vantagens da topologia module integrated, porm o sistema
de controlo exige um maior grau de complexidade.


18

Figura 2.15: Team Concept.

2.4 Conversores Single-Stage

O objectivo deste gnero de sistemas de converso de energia o de realizar com o
mnimo de componentes possvel a converso de um sinal DC, fornecido pelo painel
fotovoltaico, num sinal AC com as caractersticas necessrias para poder ser ligado rede
elctrica. O conversor dever ser capaz de assegurar tarefas prprias, isto , extrair, para as
condies ambientais, a mxima potncia permitida pelo painel (controlo MPPT), o controlo
da rede e injectar a potncia extrada na rede.
Para alm de realizar todos os pontos atrs referidos, o conversor dever estar de acordo
com as normas internacionais, que regulamentam a interligao entre sistemas fotovoltaicos
e a rede, sendo as principais:



19

Tabela 2.1: Sumrio das principais normas que regulamentam a ligao rede de sistemas
fotovoltaicos [11].


2.5 - Conversores DC/DC

Os conversores DC/DC so sistemas formados por semicondutores de potncia operando
como interruptores, e por elementos passivos, normalmente indutores e capacitores que tem
por funo controlar o fluxo de potncia de uma fonte de entrada para uma fonte de sada.
No s com este elemento que se realiza o trnsito de potncia, como tambm o mtodo
de controlo, associado ao mesmo, o responsvel por manter o funcionamento do sistema
sempre em valores prximos do ponto de potncia mxima. Outro aspecto, que torna este
elemento relevante, o facto de a maioria das perdas de um sistema fotovoltaico se
situarem no conversor, tornando-o num elemento marcante em termos competitivos.

20
Numa aplicao tpica, os conversores so utilizados para fornecer tenses contnuas
reguladas a partir de uma fonte de tenso contnua no regulada. A utilizao destes
conversores tem como objectivo, no a criao de uma tenso DC sada, mas sim a gerao
de uma onda de corrente sinusoidal rectificada.
Na Figura 2.11 mostra-se o diagrama elctrico e a forma de onda da tenso de sada de
um conversor DC/DC bsico.


Figura 2.16: Conversor DC/DC e forma de onda da tenso de sada.

O intervalo de comutao definido como:

s
F
1
T
s
=
(2.1)

onde, F
s
a frequncia de comutao. Esta frequncia tende a ser a mais alta possvel,
diminuindo assim o volume dos elementos magnticos e capacitivos do conversor. A razo
entre o intervalo de comutao (T
s
) e o intervalo de conduo do interruptor S (T
on
)
definido por razo cclica.

s
on
T
T
D =
(2.2)

A tenso mdia na sada deste conversor calculada por:

s
on
i
T
0
i
s
o
T
T
V dt V
T
1
V
on
= =


(2.3)

Usando
s on
T D T = pode-se ento concluir que a relao entre a tenso de sada e a
tenso de entrada definida por ganho esttico do conversor.

i
o
V
V
D =
(2.4)


21

Os conversores DC/DC podem ser divididos em dois grupos: os conversores no-isolados,
tais como o conversor step-down ou buck, step-up ou boost e step-up/down ou buck-boost; e
os conversores isolados, tais como o conversor flyback, forward, push-pull, meia ponte e
ponte completa.
Nesta seco, sero apenas apresentados os conversores no-isolados uma vez que
permite obter uma base de conhecimento que apoie o desenvolvimento do sistema de
converso single-stage pretendido.

2.5.1 - DC/DC No-Isolados

Este tipo de conversores DC/DC no apresenta qualquer tipo de isolamento entre a
entrada e a sada do circuito de converso. So compostos principalmente por elementos
passivos, bobinas, condensadores e transstores, permitindo com a sua configurao alterar o
nvel de tenso DC sua sada. Para isso o transstor actuado por meio de um sinal PWM,
sendo o duty cycle desse sinal a caracterstica principal que ir controlar o sinal de sada DC.

2.5.1.1 Step-Down ou Buck

O conversor step-down ou Buck [10, 12] um conversor DC/DC abaixador de tenso, que
gera sada um sinal de tenso DC de valor igual ou inferior ao da entrada. O esquema
elctrico deste conversor pode ser visto na Figura 2.17.


Figura 2.17: Conversor DC/DC Step-Down ou Buck.

Pelo esquema elctrico do conversor DC/DC step-down verifica-se que a base de
funcionamento deste elemento est no armazenamento e descarga de energia na bobina L.
Esta caracterstica controlada pelo duty cycle do sinal PWM aplicado ao transstor presente
no esquema.
As etapas de funcionamento do conversor Buck so descritas a seguir.

22

1
a
Etapa (0, DT
s
): T est conduzindo. A corrente circula por L e pela sada. Nesta etapa
V
in
fornece energia para a sada e para a magnetizao do indutor L.

2
a
Etapa (DT
s
, (1-D)T
s
): T est bloqueado. No instante de abertura de T o dodo D entra
em conduo. A energia do indutor transferida para a carga, isto , o indutor
desmagnetizado.

Analisando o circuito elctrico da Figura 2.17 e sabendo que, em regime estacionrio, o
valor mdio da tenso da bobina L, durante um perodo do sinal PWM nulo, conclui-se que a
funo de transferncia associada ao conversor DC/DC step-down [12]:

=
s
T D
in
s
out
dt V
T
V
0
1
(2.5)

D
V
V
in
out
=
(2.6)

Sendo D uma varivel entre 0 e 1 conclui-se que
in out
V V confirmando que o objectivo
deste conversor o de diminuir a tenso DC presente na entrada.


Figura 2.18: Formas de onda associadas ao conversor DC/DC step-down ou Buck tpicas nos
modos de conduo contnua e descontnua.


23

O conversor Buck pode operar em trs modos de operao [10]:

1. Conduo Contnua: a corrente no indutor L no se anula durante um perodo de
comutao;
2. Conduo Descontnua: a corrente no indutor L anula-se a cada perodo de
comutao;
3. Conduo Crtica: a corrente no indutor L est no limiar de se anular a cada
perodo de comutao;

2.5.1.2 Step-Up ou Boost

O conversor step-up, ou Boost, um conversor elevador de tenso, capaz de gerar
sada uma tenso DC de valor superior ou igual ao da tenso DC presente na entrada. Na
Figura 2.11 mostra-se o diagrama elctrico do conversor Boost [12].


Figura 2.19: Conversor DC/DC step-up ou Boost.

Tal como acontece para o conversor DC/DC anterior tambm para o step-up a base de
funcionamento est no armazenamento e descarga da energia na bobina L, sendo esta
caracterstica controlada pelo duty cycle do sinal PWM aplicado ao transstor.
As etapas de funcionamento do conversor Boost so descritas a seguir.

1
a
Etapa (0, DT
s
): T est conduzindo. O indutor L magnetizado. A fonte V
in
fornece
energia ao indutor.

2
a
Etapa (DT
s
, (1-D)T
s
): T est bloqueado. O dodo D entra em conduo. A fonte V
in
e o
indutor L fornecem energia sada. A tenso na carga aumenta.


24
Sabendo que, em regime estacionrio, o valor mdio da tenso da bobina L, durante um
perodo da onda PWM, nulo, conclui-se que a funo de transferncia associada ao
conversor DC/DC [12]:



=
s s
T D
out
s
T D
in
s
dt V
T
dt V
T
) 1 (
0 0
1 1
(2.7)

D - 1
1
V
V
in
out
=
(2.8)

Sendo D uma varivel entre 0 e 1 verifica-se que
in out
V V , confirmando assim que o
objectivo deste conversor o de aumentar a tenso DC presente na entrada.



Figura 2.20: Formas de onda tpicas do conversor DC/DC step-up ou Boost.

2.5.1.3 Step-Up/Down ou Buck-Boost

O conversor Buck-Boost um conversor que pode operar como abaixador ou elevador de
tenso, caracterizado por ter entrada em tenso e sada em tenso. O conversor pode ser
obtido pela ligao em cascata de dois conversores bsicos: o step-down ou Buck e o step-up
ou Boost. Na Figura 2.11 mostra-se o diagrama elctrico associado ao conversor Buck-Boost.

25



Figura 2.21: Conversor DC/DC step-up/down ou Buck-Boost [12].

A base de funcionamento deste conversor est no controlo da energia da bobina L, sendo
efectuado a partir do duty cycle do sinal PWM aplicado ao transstor. Analisando o circuito
constata-se que o conversor apresenta uma caracterstica particular, o facto de inverter a
tenso.
As etapas de funcionamento do conversor Buck-Boost so descritas a seguir.

1
a
Etapa (0, DT
s
): T est conduzindo. A fonte V
i
fornece energia para a magnetizao do
indutor L.

2
a
Etapa (DT
s
, (1-D)T
s
): T est bloqueado. A energia do indutor L transferida atravs do
dodo D para a sada. O indutor L desmagnetizado.

A funo de transferncia associada ao conversor da Figura 2.21 pode ser obtida pelo
produto das funes de transferncia dos dois conversores bsicos, buck e boost em cascata
(assumindo que os dois conversores tm o mesmo duty cycle) [10], ou sabendo que, em
regime estacionrio, o valor mdio da tenso da bobina L, durante um perodo do sinal PWM,
nulo.

D - 1
1
D
V
V
in
out
=
(2.9)


Sendo D uma varivel na gama entre 0 e 1 conclui-se portanto que
in out in out
V V V V confirmando-se assim a capacidade deste conversor em gerar sada
um sinal de tenso DC de valor superior ou inferior ao do presente na entrada, embora
custa de inverso do sinal de tenso DC.


26
2.5.1.3.1 Modo de conduo contnua

A Figura 2.21 mostra as formas de onda do modo de conduo contnua onde a corrente
no indutor flui continuamente. Igualando a integral da tenso do indutor durante um perodo
do tempo a zero, vem:

0 ) D - 1 ( ) V ( D V
out in
= +
s s
T T
(2.10)


D - 1
1
D
V
V
in
out
=
(2.11)
e

D
D - 1
I
I
D
0
= (com P
in
= P
0
)
(2.12)



Figura 2.22: Formas de onda do modo de conduo contnua (i
L
> 0) [10].



27


Figura 2.23: a) T on; b) T [10].

2.5.1.3.2 Limite entre o modo de conduo contnua e
descontnua

Na Figura 2.21 mostra as formas de onda no limite do modo de conduo contnuo. Por
definio, neste modo a corrente no indutor, i
L
, vai para zero no fim do intervalo.
Da Figura 2.21 a) tira-se:

D
L
V T
i I
in s
peak L LB

= =
2 2
1
,

(2.13)

Da Figura 2.21 vem (com a corrente mdia do capacitor igual zero):

D L 0
I I I =
(2.14)

Atravs das equaes (2.11) a (2.14), pode-se obter a corrente mdia do indutor e a
corrente de sada do limite de conduo do modo contnuo em funo de V
in
.


28
) 1 (
2
D
L
V T
I
OUT s
LB

=
(2.15)
e
2
) 1 (
2
D
L
V T
I
OUT s
OB

=
(2.16)


Figura 2.24: Conversor buck-boost : Limite entre o modo de conduo contnua e
descontnua [10].

A maior parte das aplicaes onde o conversor buck-boost pode ser utilizado requer que
V
out
se mantenha constante, embora o V
in
(e, tambm D) podem variar. A inspeco das
equaes (2.15) a (2.16) mostra que o mximo valor de ambas as correntes, I
LB
e I
0B
, ocorre
para D = 0.

L
V T
I
out s
LB

=
2
max ,

(2.17)
e
L
V T
I
out s
OB

=
2
max ,

(2.18)

Usando as equaes (2.15) at (2.18), temos:

) 1 (
max , max ,
D I I
LB LB
=
(2.19)
e
2
max , max ,
) 1 ( D I I
OB OB
=
(2.20)

A Figura 2.21 b) mostra as correntes I
LB
e I
0B
como funo de D, mantendo V
out
=
constante.

29


2.5.1.3.3 Modo de conduo descontnua

A Figura 2.21 mostra as formas de onda com i
L
descontnua. Igualando o integral da
tenso no indutor durante um perodo do tempo a zero, vem:

0 ) V ( D V
1 out in
= +
s s
T T
(2.21)


1 in
out
D
V
V

=
(2.22)
e

D I
I
1
D
0

= (com P
in
= P
0
)
(2.23)

Da Figura 2.21:

) (
2
1
+

= D T D
L
V
I
s
in
L

(2.24)


Figura 2.25: Formas de onda do modo de conduo descontnua [10].


30

Figura 2.26: Caractersticas do conversor buck-boost mantendo V
out
constante [10].

Com V
out
mantido constante, podemos obter D como funo da corrente I
0
para vrios
valores de
in
out
V
V
. Usando as equaes derivadas anteriormente, vem:

max , 0
0
B in
out
I
I
V
V
D =
(2.25)

A Figura 2.21 mostra o grfico de D como funo de
max , 0
0
B
I
I
para vrios valores de
out
in
V
V
.
O limite entre o modo de conduo contnua e descontnua est representado a tracejado.




31


Captulo 3
Modelao dos Painis Fotovoltaicos
3.1 Introduo

O painel fotovoltaico o responsvel por transformar a energia luminosa solar em
corrente elctrica, sendo formado por clulas individuais conectadas entre si. Cada clula
fotovoltaica isoladamente tem capacidade limitada de produo de energia elctrica e
fornece um baixo nvel de tenso. Portanto se for necessrio uma maior produo de energia
h necessidade de se associar vrias clulas, atravs de ligaes srie e paralelo, formando-
se assim os painis fotovoltaicos. Os painis fotovoltaicos podem, tambm, ser conectados
entre si, formando-se conjuntos de painis fotovoltaicos.

Esta tese tem como objectivo, tal como foi referido no Capitulo 1, a continuao do
desenvolvimento e a simulao de um novo sistema de converso de energia para a
interligao de painis fotovoltaicos com a rede domstica de energia elctrica.
Neste caso concreto e dado que as ferramentas de simulao que facilitam o
desenvolvimento de sistemas de converso de energia, no dispem de nenhum elemento que
simule as caractersticas de um painel fotovoltaico, a primeira parte do trabalho foca o
desenvolvimento e implementao de um bloco de simulao capaz de o fazer e aplicvel em
PSIM

[27].
Desta forma o desenvolvimento futuro de sistemas de converso de energia, com painis
fotovoltaicos, ter acesso s caractersticas particulares de um painel fotovoltaico e, assim,
permitir um desenvolvimento optimizado deste tipo de sistemas.



32
3.2 Modelo Elctrico de Princpio de um Painel Fotovoltaico

Para implementar o modelo de simulao necessrio estudar os fenmenos associados
ao funcionamento de um painel fotovoltaico. Na Figura 3.1 apresenta-se as curvas
caractersticas da corrente e da tenso de um painel fotovoltaico.


0
1
2
3
4
5
6
0 5 10 15 20 25 30 35 40 45
Tenso (V)
C
o
r
r
e
n
t
e

(
A
)
0
20
40
60
80
100
120
140
P
o
t

n
c
i
a

(
W
)
Curva I / V Potncia

Figura 3.1: Curvas caractersticas de um painel fotovoltaico

O modelo elctrico que representa um painel fotovoltaico ideal mostrado na Figura 3.1
a), onde a corrente I fornecida pelo painel a uma carga, equivalente associao de uma
fonte de corrente contnua I
ph
em paralelo com um dodo. Onde o mdulo de I
ph

proporcional ao nvel de radiao G que incide sobre a clula e o comportamento da corrente
pela juno PN representado pela corrente do dodo I
d
.

O desempenho de um painel real difere do ideal, pois este no apresenta todas as
caractersticas que se esperam encontrar num painel real [32]. Os factores de perdas do
painel real so representados pelas resistncias em srie e em paralelo inseridas no modelo
ideal.
Assim, o painel fotovoltaico modelado por uma fonte de corrente cujo valor depende da
variao da radiao solar e da temperatura do painel, por um dodo em paralelo com a fonte
de corrente cuja caracterstica varia em funo da temperatura do painel e do estado em que
se encontra o painel (carga aplicada a este), por uma resistncia R
ph
em paralelo com o dodo
que caracteriza as correntes de fuga e, finalmente, por uma resistncia R
sh
na sada que
caracteriza as perdas de conduo. A Figura 3.1 b) apresenta o circuito equivalente de um
painel real.


33


Figura 3.2: Circuito equivalente do painel fotovoltaico conectado a uma carga: (a) modelo
ideal e (b) modelo real.

3.3 Equaes Associadas a um Painel Fotovoltaico [34, 35]

Uma vez apresentado o esquema elctrico equivalente de um painel fotovoltaico agora
possvel associar, a cada elemento, a respectiva equao.
O circuito da Figura 3.2 usado junto com as seguintes equaes para expressar as curvas
I-V do painel fotovoltaico.

r d ph
I I I I =
(3.1)

A fonte de corrente dependente, I
ph
, equao (3.2) varia em funo da radiao solar e
da temperatura a que se encontra o painel, onde I
SC
a corrente de curto-circuito do painel,
J
0
o coeficiente de temperatura, T a temperatura do mdulo fotovoltaico, T
ref
a temperatura
de referncia, K a constante de Boltzmann, E
g
a banda de energia do semicondutor, S a
radiao solar em W/m
2
e S
ref
a radiao solar de referncia.

ref
ref o SC ph
S
S
)] T (T J [I I + = .
(3.2)

A corrente do dodo, I
d
, (3.3) em paralelo com a fonte de corrente apresenta um
comportamento no linear dependente da temperatura do painel bem como do estado de
funcionamento em que este se encontra. Esta equao principal associada ao dodo.
A varivel I
o
(3.4) a corrente de saturao inversa do dodo.

(

|
|

\
|

+
= 1
T K n N
V) R (I q
exp I I
s
sh
o d
.
(3.3)


34
)
`

|
|

\
|

|
|

\
|
=
T
1
T
1
K n
E q
exp
T
T
I I
ref
g
3
ref
DO o

(3.4)

Por fim vm as equaes associadas s variveis I
DO
e E
g
. A varivel I
DO
uma constante
dependente apenas das caractersticas fixas do painel.

(

|
|

\
|

=
1
N T K n
V q
exp
I
I
s
OC
SC
DO

(3.5)

|
|

\
|
+

=
1108 T
2
T
4
10 7.02
gref
E
s
N
g
E
(3.6)

Para completar o conjunto de equaes associadas a um painel fotovoltaico ainda
necessrio o clculo das resistncias R
sh
e R
ph
presentes no modelo da Figura 3.2 b). As
resistncias so constantes que podem ser calculadas recorrendo a caractersticas fixas do
painel fotovoltaico.

MPPT
MPPT OC
sh
I
V V
R

=
(3.7)

MPPT SC
OC
ph
I I
V
R

=
(3.8)

Trs pontos de operao do mdulo fotovoltaico merecem especial ateno:

I. Corrente de Curto-circuito (I
SC
): o valor mximo da corrente de carga, igual,
corrente gerada por efeito fotovoltaico. O seu valor uma caracterstica da clula,
sendo um dado fornecido pelo fabricante para as determinadas condies de radiao
e temperatura.
Onde:

SC PV
D
I I I
I
V
= =
=
=
0
0

(3.9)


35

II. Tenso de Circuito Aberto (V
OC
): o mximo valor da tenso nos terminais do
mdulo fotovoltaico, quando nenhuma carga est conectada a ele. O seu valor
fornecido pelo fabricante para determinadas condies de radiao e temperatura.
Onde:
|
|

\
|
+ =
=
o
PV
T OC
I
I
V m V
I
1 ln
0

(3.10)

III. Ponto de mxima Potncia (MPP): Para cada ponto na curva IxV, o produto corrente
vs. Tenso representa a potncia gerada para aquela condio de operao. Num
mdulo fotovoltaico, para uma dada condio climtica, s existe um ponto na curva
IxV onde a potncia mxima pode ser alcanada. Este ponto corresponde ao produto
da tenso de potncia mxima e corrente de potncia mxima.

Nesta seco foram apresentadas as principais equaes que regem o funcionamento de
um painel fotovoltaico, sendo nas seces seguintes apresentados os resultados do modelo
desenvolvido [27] com o software de simulao PSIM e a comparao com a folha de
caractersticas do fabricante.

3.4 Efeitos dos factores meteorolgicos nas caractersticas
elctricas dos mdulos fotovoltaicos.

As caractersticas elctricas de uma clula fotovoltaica e, portanto, de um painel
fotovoltaico, so influenciadas directamente por dois factores climticos: radiao solar e
temperatura das clulas.
Para desenvolvimento de uma ferramenta que simule as caractersticas de corrente e
tenso de um mdulo fotovoltaico, o modelo matemtico utilizado deve observar o
comportamento de cada varivel sob condies de temperatura e radiao solar diferentes
das condies padres de testes (radiao solar de 1000W/m
2
e temperatura de 25C).

3.4.1 Radiao Solar

Com a variao da intensidade da radiao solar incidente num painel fotovoltaico ocorre
uma variao proporcional na corrente gerada por este painel, Figura 3.2. A tenso de
circuito aberto (V
OC
) sofre poucas alteraes com a variao da intensidade luminosa,

36
excepto para os casos quando a radiao solar muito baixa e V
OC
decresce rapidamente at
zero, nas condies de escurido.

'
G
' I
SC
G
I
SC
=
(3.11)

onde:
I
SC
= Corrente de curto-circuito nas condies de referncia;
G = Radiao solar;
G = Radiao solar de referncia;


Figura 3.3: Curva I/V em funo da radiao solar

3.4.2 Temperatura

Ao contrrio do caso anterior, a corrente gerada pelo mdulo fotovoltaico apresenta
poucas variaes com a alterao da temperatura da clula fotovoltaica. Porm, com o
aumento da temperatura da clula, a tenso de circuito aberto do mdulo fotovoltaico
apresenta uma diminuio em seus valores.

|
|

\
|
|

\
|
=
T T
3
o
o
V
1
V
1
m'
exp
T
T
I I



(3.12)

onde:
I

o
= Corrente inversa mxima de saturao do dodo (A) Condies de referncia;
T

= Temperatura na clula (K) - Condies de referncia;



37

V

T
= Potencial trmico da clula (V) - Condies de referncia;

Como a corrente inversa mxima directamente influenciada pela temperatura da
clula, a tenso de circuito aberto tambm se ir alterar.
A Figura 3.2 apresenta as curvas caractersticas de um mdulo fotovoltaico sob
intensidade de radiao solar constante (1000W/m
2
) a diferentes temperaturas.


Figura 3.4: Variao da temperatura na curva I/V de um painel fotovoltaico.

Na Figura 3.2 apresentada a curva caracterstica P vs V e o deslocamento do ponto de
mxima potncia para a variao da temperatura, considerando a radiao solar constante a
1000W/m
2
.


Figura 3.5: Curva caracterstica P vs V para a variao de temperatura e radiao solar.

38

3.5 Implementao em PSIM



Uma vez reunido o conjunto de equaes associadas a um painel fotovoltaico possvel
implementar um bloco de simulao em PSIM

que obtenha resultados muito aproximados


com aqueles que se obtm num painel real. Para o desenvolvimento desta tese foi utilizado o
esquema elctrico implementado em PSIM

[27] da Figura 3.2 modelando um painel
fotovoltaico:


Figura 3.6: Modelo elctrico implementado em PSIM

.

3.6 Resultados da simulao

Os resultados apresentados nesta seco resultam da anlise de [27], onde o modelo
elctrico da Figura 3.2 foi configurado, para simular o painel fotovoltaico da BP Solar modelo
7170S. O modelo implementado em PSIM

foi sujeito a testes de variao da radiao solar e


da variao da temperatura de funcionamento do painel, tendo os resultados obtidos sido
comparados com as informaes fornecidas pelo fabricante. Este modelo apresenta as
seguintes caractersticas:

Tabela 3.1: Caractersticas do Painel Fotovoltaico
BP 7170S (25C; 1000 W/m
2
)
Potncia 170 W
I
sc
5.2 A
V
oc
44.2 V
I
MPPT
4.8 A
V
MPPT
35.8 V


39

3.6.1 Variao da Radiao Solar

A Figura 3.2 apresenta a resposta I/V e de potncia em funo de diferentes radiaes
solares. Foram aplicadas ao modelo trs radiaes solares diferentes, respectivamente 250
W/m
2
, 500 W/m
2
e 1000 W/m
2
mantendo a temperatura dos painis nos 25 C. Obtiveram-se
os seguintes resultados confirmando que o modelo reage como esperado alterao da
radiao solar, pois verificam-se as caractersticas
2
1
2
1
Isc
Isc
S
S
e
2 1
Voc Voc .


Figura 3.7: Curva I/V em funo da radiao solar


Figura 3.8: Curva de potncia em funo da radiao solar


40
3.6.2 Variao da Temperatura

A Figura 3.2 apresenta a resposta em funo da variao de temperatura. Foram
aplicadas ao modelo trs temperaturas diferentes, 25C, 50C e 75C mantendo-se a radiao
solar nos 1000W/m
2
. Foram obtidos os seguintes resultados:


Figura 3.9: a) Curva I/V em funo da temperatura; b) Curva I/V fornecida pelo fabricante

Comparando a Figura 3.9 a) e com a Figura 3.9 b), retirada da folha de caractersticas do
fabricante, verifica-se que os resultados obtidos na simulao esto muito prximos dos
valores que se obtiveram experimentalmente.


Figura 3.10: Curva de potncia em funo da temperatura

41


Recorrendo folha de caractersticas e a partir do coeficiente de temperatura para o
valor de potncia mxima, conclui-se que os valores simulados e apresentados na Figura 3.10
esto tambm eles dentro da gama de valores que seria de esperar.

3.6.3 Concluso

Atravs dos resultados anteriores pode-se concluir-se que o modelo desenvolvido no
software de simulao PSIM

permite obter resultados muito prximos dos reais, com erros


mximos, em funo de I
SC
, de 8%.


Figura 3.11: Bloco de Simulao implementado em PSIM



O esquema implementado em PSIM

, dada a sua complexidade, foi colocado dentro de


um bloco [27], permitindo o acesso s principais variveis, tal como se pode observar na
Figura 3.11.
O bloco tem duas portas de entrada, SR e C, respectivamente a varivel da radiao
solar e temperatura do painel. Uma vez fornecidas ao bloco estas variveis o modelo de
simulao proporciona na sada, pontos OUT+ e OUT-, com os valores da tenso e
corrente. O bloco fornece ainda uma medida associada ao painel fotovoltaico, a potncia
instantnea. Trata-se de uma varivel a usar pelo mtodo MPPT a implementar com o painel
fotovoltaico, estando presente na porta P.

3.7 Controlo Maximum Power Point Tracking - MPPT

A baixa eficincia de converso das clulas solares, a esperana de vida limitada e o alto
custo de instalao so os maiores obstculos de gerao de energia elctrica de painis
fotovoltaicos.

42
Para alterar isso, de fundamental importncia o sistema de converso de energia
extrair a mxima potncia gerada pelos painis fotovoltaicos para, desta forma, aumentar a
eficincia do sistema e reduzir os custos da energia gerada [13].
Para que este aproveitamento ocorra, necessrio garantir que o sistema opere a maior
tempo possvel sobre o ponto de mxima potncia. Como visto, nas seces anteriores,
devido s caractersticas dos painis fotovoltaicos este ponto varivel e fortemente
dependente da temperatura e radiao solar.
Recorrendo a tcnicas que procurem controlar continuamente o ponto de mxima
potncia, possvel gerar mais energia com o mesmo nmero de painis [41], podendo-se
obter um incremento na gerao na ordem de 15 a 30% [42, 43].
O controlo referido denominado de controlo MPPT, Maximum Power Point Tracking e
tem como objectivo alterar o funcionamento do conversor, ligado ao painel fotovoltaico, de
forma a manter o painel no estado de funcionamento que fornece o mximo de potncia.
Os sistemas de converso com mltiplos estgios tm uma eficincia relativamente mais
baixa, maior tamanho e custo mais elevado. Consequentemente, a tendncia para sistemas
de energia single-stage, por causa de seu tamanho pequeno, baixo custo, eficincia e
confiabilidade elevadas [11, 16]. Nestes sistemas de converso o controlo MPPT do conversor
altera o ndice de modulao, M, de forma a atingir o estado pretendido. Trata-se de uma
varivel que indica o pico de corrente que ser gerada pelo conversor [13].
Este captulo apresenta as principais tcnicas de controlo do ponto de potncia mxima
utilizadas em sistemas fotovoltaicos. As tcnicas so apresentadas, simuladas com o software
Matlab e uma breve comparao entre os resultados realizada.

3.7.1 Mtodos de Controlo MPPT

Nas ltimas dcadas, diversos mtodos para a busca do ponto de mxima potncia foram
desenvolvidos. Segundo [41, 44, 45] os mtodos podem ser classificados como: Tenso
Constante (CV), Perturbao e Observao (P&O), Hill Climbing (HC) e Condutncia
Incremental (IncCond).
Estes mtodos diferenciam-se pela forma como o ponto de mxima potncia atingido,
resultando em diferenas significativas no aproveitamento da energia gerada pelos painis
fotovoltaicos. Nas seces seguintes sero expostos os algoritmos dos mtodos referidos,
sendo no final feita uma concluso sobre as caractersticas dos mesmos.

3.7.1.1 Mtodo Tenso Constante (CV)


43

O mtodo de tenso constante o mais simples de implementar [26], sendo no entanto
necessrio o conhecimento da varivel V
oc
do painel fotovoltaico, que pode ser conhecida a
partir de uma clula do painel fotovoltaico em circuito aberto ou em alternativa aplicando o
mtodo indicado por Kobayashi et al. [20].
Este mtodo baseia-se na relao existente entre a tenso do conjunto de painis que
fornece a potncia mxima (V
MPPT
) e a tenso em circuito aberto (V
OC
), existentes nos painis
fotovoltaicos, que aproximadamente constante com o valor 76% [44], independente das
condies exteriores de funcionamento do painel. Nesta tcnica o conversor desconectado
do arranjo de painis fotovoltaicos periodicamente, e o valor de V
OC
amostrado e o valor de
V
MPPT
ajustado.
A principal limitao desta tcnica a presena de erro em regime permanente, que
resultado da relao entre a tenso de circuito aberto V
OC
e a tenso de operao no ponto de
mxima potencia V
MPPT
no ser constante [41].
O algoritmo comea por medir a tenso do painel e calcula a tenso de referncia. De
seguida calcula o erro, atravs da comparao entre as duas variveis e, actualiza o ndice de
modulao, M, de forma a igualar as tenses, colocando assim o painel a fornecer a potncia
mxima.
Observa-se da Figura 3.12 que a potncia extrada do painel fotovoltaico apresentou erro
em regime permanente, independente da radiao solar. Isto deve-se ao facto da relao
entre a tenso de operao no ponto de mxima potencia V
MPPT
e a tenso de circuito aberto
V
OC
no ser constante.


Figura 3.12: Simulao do mtodo de Tenso Constante (CV) para variao da radiao solar.


44
3.7.1.2 Mtodo Perturbao e Observao (P&O)

O seguidor do ponto de mxima potncia Perturbao e Observao o algoritmo MPPT,
mais utilizado, devido sua facilidade de implementao. Este mtodo baseado na
alterao da referncia e na comparao da potncia disponibilizada pelo conjunto de painis
fotovoltaicos antes e depois da alterao, definindo o sentido da prxima perturbao [41,
45].
O seguidor do ponto de mxima potncia opera periodicamente incrementando ou
decrementando a tenso da disposio solar. Se uma dada perturbao conduz a um aumento
(diminuio) no poder da disposio, a perturbao subsequente feita no mesmo (oposto a)
sentido. Desta forma, o seguidor do ponto de mxima potncia continuamente procura as
condies de mxima potncia.

Figura 3.13: Sinal do dP/dV em posies diferentes sobre a curva da potncia.

A Figura 3.13 apresenta o fluxograma do algoritmo deste seguidor de ponto de mxima
potncia.
Neste mtodo o valor de tenso constante ou de corrente utilizada como referncia
aumentado ou reduzido por um factor constante a cada iterao, levando o sistema a operar
prximo do ponto de mxima potncia do conjunto de painis fotovoltaicos.
Como limitaes, citam-se a presena de erro em regime permanente, as oscilaes em
torno do ponto de mxima potencia na operao de estado estacionrio, resposta dinmica
lenta e operao fora do ponto de mxima potncia caso ocorram rpidas mudanas da
temperatura e na radiao solar [41, 45].


45


Figura 3.14: Fluxograma do mtodo P&O.

Esta tcnica apresenta um melhor aproveitamento da energia disponibilizada pelos
painis fotovoltaicos quando comparada com o mtodo de Tenso Constante, porm para a
grande variao de radiao h um atraso no algoritmo para aproximar-se do ponto de
mxima potencia, o que reduz o aproveitamento da energia gerada pelos painis
fotovoltaicos.


Figura 3.15: Simulao do mtodo de P&O para variao da radiao solar.


46
3.7.1.3 Mtodo Hill Climbing (HC)

O Hill Climbing aplicado aos sistemas perturbando o ndice de modulao, em
intervalos regulares [13], medindo a corrente e tenso actuais do painel e, em funo dos
resultados anteriores, decide em que zona da curva de potncia se encontra. Uma vez
conhecido a potncia, o controlo altera o ndice de modulao, de forma a levar o painel
para o ponto de potncia mximo. Este mtodo no necessita de conhecer parmetros do
painel ao qual vo ser aplicado.
O algoritmo utilizado neste mtodo, Figura 3.16, possui os mesmos problemas
apresentados pela tcnica P&O quando submetida a rpidas variaes de radiao solar. No
entanto o erro em regime permanente do HC bastante superior.


Figura 3.16: Algoritmo MPPT Hill Climbing.

O algoritmo, numa primeira mede a corrente e a tenso e calcula a potncia associada ao
painel fotovoltaico. Em seguida verifica se a potncia do painel mantm o mesmo valor da
iterao anterior. Caso verifique que no houve alterao mantm o ndice de modulao, e
termina essa iterao. Na etapa seguinte, e em funo do que aconteceu com o valor da
potncia calculado, verifica, pela diferena de tenso da iterao anterior e da mais recente,
em que zona da curva de potncia se encontra. Finalmente, tendo esse conhecimento,
aumenta ou diminui o ndice de modulao de forma a fazer tender o estado do painel para o
ponto de potncia mximo.


47


Figura 3.17: Simulao do mtodo Hill Climbing com variao da radiao solar.

3.7.1.4 Mtodo Hill Climbing modificado (HC)

Este mtodo, conforme o nome indica uma alterao ao mtodo Hill Climbing referido
acima. O mtodo modificado realiza o mesmo algoritmo com a diferena que a varivel que
altera o ndice de modulao, a(k), no tem um valor fixo mas sim dependente da diferena
de potncia entre duas iteraes seguidas e dado pela equao (3.13), que calcula a
diferena entre a potncia do painel actual com a potncia registada na iterao anterior,
dividido pelo ndice de modulao e multiplicado por uma constante, c
m
[13, 19].

1) a(k
1)) P(k (P(k) c
a(k)
m


=
(3.13)


48

Figura 3.18: Algoritmo MPPT Hill Climbing modificado.

3.7.1.5 Condutncia Incremental (IncCond)

O mtodo da condutncia incremental, ao contrrio das apresentadas anteriormente,
apresenta melhores resultados em regime permanente e tambm para rpidas mudanas de
radiao.
Neste mtodo a curva de potncia vs. tenso do conjunto de painis fotovoltaicos
utilizada para a busca do ponto de mxima potencia [41].
A localizao do ponto onde a mxima potncia extrada dos painis dada pela
equao (3.14).

( )
0 = + = =
dV
dI
V I
dV
IV d
dV
dP

(3.14)

No fluxograma da Figura 3.19 mostrado o mtodo da condutncia incremental.
A primeira etapa realiza as medies da corrente e tenso e o clculo da potncia
associada ao painel para a aplicao do mtodo. Em seguida, os desvios dV e dI so
calculados, subtraindo os valores de tenso V(k-1) e corrente I(k-1), obtidos na leitura
anterior, dos valores V(k) e I(k), respectivamente

49

A principal comparao realizada pelo algoritmo confronta os valores de
dV
dI
e
V
I
.
Segundo a equao (3.15), no ponto de mxima potncia
V
I
dV
dI
= e portanto, nenhuma
aco tomada. Os valores da tenso V(k-1) e corrente I(k-1) so actualizados e o algoritmo
retorna ao inicio.
Quando
V
I
dV
dI
a tenso de referencia V
ref
ajustada no sentido de mover a tenso do
painel fotovoltaico at a tenso de mxima potencia, de acordo com o sinal de
dV
dP
.
Se o sistema j estiver operando no ponto de mxima potencia (dV=0) as alteraes
climticas detectadas atravs de dI0 e o ajuste da tenso de referncia V
ref
depender do
sinal de dI.


Figura 3.19: Algoritmo MPPT Condutncia Incremental.

Esta tcnica apresenta erro em regime permanente muito pequeno, apresentado um
melhor aproveitamento da energia gerada pelo conjunto de painis fotovoltaicos quando

50
comparado com as tcnicas de busca do ponto de mxima potencia apresentados nas seces
anteriores.


Figura 3.20: Simulao do mtodo Condutncia Incremental com variao da radiao solar.

3.7.1.6 Concluses

O mximo aproveitamento da energia disponibilizada pelo conjunto de painis
fotovoltaicos de fundamental importncia para a reduo dos custos da energia elctrica
gerada num sistema fotovoltaico.
Devido s caractersticas de funcionamento dos painis fotovoltaicos o ponto de
funcionamento onde a mxima potncia gerada no constante, variando com a
temperatura, e radiao solar. Desta forma, para um sistema fotovoltaico aproveitar ao
mximo a energia gerada, o seu ponto de funcionamento deve ser constantemente alterado.
Para isso, o uso de um controlo MPPT imprescindvel.
Neste captulo foram apresentados os principais mtodos utilizados em sistemas
fotovoltaicos para determinar o ponto de mxima de potncia. Da literatura [13] verifica-se
que no existe nenhum algoritmo MPPT que seja ptimo em todos as caractersticas
observadas. Sendo assim conclui-se que a escolha do algoritmo a implementar num
determinado sistema de converso de energia caracterstica da aplicao.
De acordo com os resultados apresentados, para utilizao em circunstncias ambientais
estveis, o mtodo de Tenso Constante simples de implementar, preciso e rpidos a atingir
o ponto de potncia mxima. Na simulao, devido variao da radiao solar, o mtodo
obteve o menor aproveitamento da energia gerada pelos painis, pelo facto de possuir um
erro em regime constante.

51

Para aplicaes onde a preciso ser a caracterstica pretendida surgem como principal
alternativa os mtodos Hill Climbing ou condutncia incremental, que com passos mais
pequenos so mais precisos. A caracterstica negativa destes mtodos o tempo necessrio
para atingir o ponto de potncia mximo que elevado. O mtodo Hill Climbing modificado
surge como alternativa pois consegue ter preciso e tambm rapidez, embora custa de um
algoritmo mais complexo.
Os mtodos P&O e HC apresentaram resultados superiores ao de Tenso Constante,
porm ambas possuem oscilaes em torno do ponto de mxima potncia, o que reduz a
potncia mdia extrada dos painis fotovoltaicos.
O mtodo de Condutncia Incremental no apresentou oscilaes em torno do ponto de
mxima potncia, sendo por isso a que apresenta o melhor aproveitamento da energia gerada
pelo conjunto de painis fotovoltaicos, quando comparada com os demais mtodos
abordados.

52

53



Captulo 4

Desenvolvimento de Conversor Single-
Stage
4.1 Conversor Single-Stage

Em 2.1.1 referiu-se que os sistemas de converso de energia fotovoltaica modulares
single-stage, so o esquema mais apropriado a implementar, na instalao de projectos de
gerao de energia descentralizados de pequena potncia.
Neste captulo ser apresentado um novo tipo de conversor single-stage para aplicao
em sistemas domsticos de converso de energia fotovoltaica, sendo demonstrado o seu
funcionamento utilizando um esquema modelado em PSIM

. Este conversor foi desenvolvido


pelo aluno Andr Nelson Matias e Silva na sua tese de mestrado, intitulada Sistema de
Converso de Energia Solar Fotovoltaica para Interligao Rede Domstica de Energia
Elctrica, realizada no 2 semestre do ano passado, orientada pelo professor Dr. Armando
Lus Sousa Arajo. Em conjunto com o conversor ser modelado um controlador MPPT no
Capitulo 5 demonstrando o funcionamento do conversor com controlo de potncia mxima do
painel fotovoltaico.

4.2 Escolha da topologia [27]


54
Um conversor single-stage, para aplicao em sistemas de converso de energia
fotovoltaica modulares, dever apresentar um esquema elctrico que seja capaz de realizar
diversos objectivos em simultneo.
Devido diferena de tenses que se verifica entre o painel fotovoltaico e a rede, uma
das caractersticas do conversor dever ser capacidade de amplificao de tenso. Tratando-
se sada de uma tenso varivel, abaixo ou acima da tenso fixa do painel fotovoltaico, a
capacidade de amplificao do conversor, dever permitir que este funcione como abaixador
ou elevador.
Para realizar a transferncia de energia do painel fotovoltaico para a rede elctrica o
conversor dever injectar na rede uma onda de corrente sinusoidal a 50 Hz, na sada, em fase
com a tenso da rede.
O conversor ser ligado a um painel fotovoltaico que, dever ser controlado por um
algoritmo MPPT, que o coloque num estado de funcionamento tal que fornea o mximo de
potncia. O conversor dever ser capaz de alterar o estado de funcionamento do painel
fotovoltaico para ser possvel a aplicao de mtodos MPPT.
Por ltimo pretende-se que o conversor single-stage a desenvolver seja uma alternativa
para a incluso em sistemas de converso de energia fotovoltaicos modulares. Como tal este
dever ser compacto, apresentar uma eficincia de converso elevada.
O conversor apresentado na Figura 4.1 foi desenvolvido com base nos conversores step-up
e step-down, com o objectivo de funcionar como abaixador ou elevador de tenso, para
satisfazer todas as caractersticas referidas para ser aplicado a um sistema single-stage.
O conversor proposto composto por um conversor DC/DC seguido de uma ponte H ligada
rede elctrica, como se pode ver na Figura 4.1.



Figura 4.1: Conversor proposto [27]

Os transstores T
1
e T
2
do conversor so comandados simultaneamente por um sinal PWM
de alta frequncia controlando, desta forma, a energia que transferida pela bobina L1. Essa
caracterstica permite controlar a corrente da bobina L
2
verificando-se que assim possvel
gerar, em L
2
, uma onda de corrente sinusoidal rectificada.

55

Como a onda de sada do conversor dever ser uma onda de corrente sinusoidal, foi
includa na sada do conversor uma ponte H. Este elemento permite a inverso do sentido da
corrente injectada na rede, quando a tenso desta negativa. A ponte em H tem a
particularidade de ser comutada frequncia da rede (50 Hz) e durante os perodos em que a
tenso e corrente so nulas, permitindo uma comutao sem perdas.
Os dodos presentes no conversor impedem que haja transferncia de energia da rede
para o painel fotovoltaico. O dodo D
3
, em conjunto com o modo de comutao da ponte H,
impede que a potncia de sada do conversor possa ser negativa. Esta caracterstica obriga a
que a onda de corrente a injectar na rede, tenha que estar em fase com a tenso, criando
assim factor de potncia unitrio.

4.3 Modelao em espao de estados [28, 29]

Nesta seco ser discutida a modelao em espao de estados. Existem vrias tcnicas
de modelao de conversores e, apesar de os autores optarem por representaes especficas
das funes de sada, o resultado final equivalente em quase todos os mtodos.
A descrio por espao de estados da dinmica de um sistema uma parte importante
das teorias de controlo moderno. Um modelo deste tipo baseia-se nas variveis essenciais
para a descrio da evoluo dinmica do sistema. So estas variveis que se utilizaro para
se calcular o modelo de mdia e posteriormente utilizado para se obter a linearizao para
pequenos sinais. O primeiro passo para a determinao do modelo dinmico de pequenos
sinais, a descrio das variveis de estado, entradas e sadas.

4.3.1 Variveis de estado, entradas e sadas

As variveis de estado de um sistema dinmico so o menor conjunto de variveis que
determinam o estado do sistema dinmico. Se pelo menos n variveis x
1
(t), x
2
(t), , x
n
(t)
so necessrias para descrever completamente o comportamento de um sistema dinmico
(tal que uma vez dada a entrada para t t
0
e o estado inicial em t = t
0
especificado, o
estado futuro do sistema esta completamente determinado), ento as tais n variveis x
1
(t),
x
2
(t), , x
n
(t) so um conjunto de variveis de estado.
Em sistemas elctricos a escolha natural para estas variveis so as correntes ou fluxos de
fugas em bobinas e as tenses ou cargas em condensadores.
As entradas so descritas por sinais externos tais como, formas de onda de tenso e
corrente provenientes de fontes de alimentao, e so ainda descritas tambm por sinais que
controlam o sistema. Estas variveis podem ser controladas ou ento ser originadas por

56
perturbaes sob as quais no se tem controlo, mas em qualquer um dos casos as leis que
descrevem o seu comportamento aparece no modelo em espao de estados.
As sadas so valores mensurveis do sistema, ou simplesmente grandezas cujo valor de
interesse para a anlise. As sadas so descritas como funes do estado do sistema e das suas
entradas.

4.3.2 Equaes de estado

A descrio por espao de estados uma forma cannica de escrever as equaes
diferenciais que descrevem um sistema.
Admita-se que um sistema multivarivel (mltiplas entradas e mltiplas sadas) envolva n
integradores. Suponha-se tambm, que haja m sinais de entrada u
1
(t), u
2
(t), , u
m
(t) e l
sinais de sada y
1
(t), y
2
(t), , y
l
(t). Definam-se as n variveis de sada dos integradores
como variveis de estado com valore: x
1
(t), x
2
(t), , x
n
(t). O sistema de equaes pode ser
descrito por:

), ), ( ),...., ( ), ( ),..., ( ), ( ( ) (
), ), ( ),...., ( ), ( ),..., ( ), ( ( ) (
), ), ( ),...., ( ), ( ),..., ( ), ( ( ) (
1 2 1
1 2 1 2 2
2
1 2 1 1 1
1
t t u t u t x t x t x f t x
dt
dx
t t u t u t x t x t x f t x
dt
dx
t t u t u t x t x t x f t x
dt
dx
m n n n
n
m n
m n
= =
= =
= =
&
M
&
&

(4.1)

Estas expresses descrevem as variaes instantneas de cada uma das variveis de
estado em funo dos argumentos indicados, ou seja, os valores instantneos de todas as
variveis de estado, das entradas e do tempo.
Os valores dos sinais de sada y
1
(t), y
2
(t), , y
l
(t) do sistema so dados por:

), ), ( ),...., ( ), ( ),..., ( ), ( ( ) (
), ), ( ),...., ( ), ( ),..., ( ), ( ( ) (
), ), ( ),...., ( ), ( ),..., ( ), ( ( ) (
1 2 1
1 2 1 2 2
1 2 1 1 1
t t u t u t x t x t x g t y
t t u t u t x t x t x g t y
t t u t u t x t x t x g t y
m n l l
m n
m n
=
=
=
M

(4.2)

Esta equao mostra que as sadas so determinadas em qualquer instante de tempo
pelas variveis de estado e pelas entradas.
Definindo-se


57

(
(
(
(
(
(

=
(
(
(
(
(
(

=
t t u t u t x t x t x f
t t u t u t x t x t x f
t t u t u t x t x t x f
t
t x
t x
t x
t
m n n
m n
m n
n
), ( ),...., ( ), ( ),..., ( ), ( (
.
.
) ), ( ),...., ( ), ( ),..., ( ), ( (
), ), ( ),...., ( ), ( ),..., ( ), ( (
) ,
) (
.
.
) (
) (
) (
1 2 1
1 2 1 2
1 2 1 1
2
1
u, f(x, x
(4.3)

(
(
(
(
(
(

=
(
(
(
(
(
(

=
t t u t u t x t x t x g
t t u t u t x t x t x g
t t u t u t x t x t x g
t
t y
t y
t y
t
m n l
m n
m n
l
), ( ),...., ( ), ( ),..., ( ), ( (
.
.
) ), ( ),...., ( ), ( ),..., ( ), ( (
), ), ( ),...., ( ), ( ),..., ( ), ( (
) ,
) (
.
.
) (
) (
) (
1 2 1
1 2 1 2
1 2 1 1
2
1
u, g(x, y
(4.4)

(
(
(
(
(
(

=
) (
.
.
) (
) (
) (
2
1
t u
t u
t u
t
m
u
(4.5)

ento as equaes (4.1) e (4.2) tornam-se

) ) ( t t u, f(x, x = &
(4.6)

) ) ( t t u, g(x, y =
(4.7)

onde a equao (4.6) a equao de estado e a equao (4.7) a equao de sada. Se as
funes vectoriais f e/ou g envolverem explicitamente a varivel t, ento o sistema ser dito
variante no tempo.
Se as equaes (4.6) e (4.7) forem linearizadas em torno do estado de operao, resultam
as seguintes equaes lineares para o estado e para a sada:

) ( ) ( ) ( ) ( ) ( t t t t t u B x A x + = &
(4.8)

) ( ) ( ) ( ) ( ) ( t t t t t u D x C y + =
(4.9)

onde A(t) dita a matriz de estado, B(t) a matriz de entrada, C(t)a matriz de sada e D(t) a
matriz de transmisso directa.

58
A Figura 4.1 representa as equaes (4.8) e (4.9) sob a forma de diagrama de blocos.


Figura 4.2: Diagrama de blocos de sistema linear, contnuo no tempo, representado no
espao de estados [31].

Se as funes vectoriais f e/ou g no envolverem, explicitamente, a varivel t, o sistema
dito invariante no tempo. Neste caso as equaes (4.8) e (4.9) podem ser simplificadas para
a forma

) ( ) ( ) ( t t t u B x A x + = &
(4.10)

) ( ) ( ) ( t t t u D x C y + =
(4.11)

A equao (4.10) a equao de estado de sistemas lineares e invariantes no tempo. A
equao (4.11) a equao de sada do mesmo tipo de sistema.


4.3.3 Obteno da Funo de Transferncia do Sistema

A seguinte anlise tem como objectivo descrever os passos necessrios para a obteno
da funo de transferncia que relaciona a tenso de sada com o ciclo activo de um
conversor. Seguindo uma modelao por espao de estados esta funo s poder ser obtida
aps linearizao do sistema de equaes, uma vez que a expresso relacionar pequenas
perturbaes na tenso de sada com perturbaes no valor do duty-cycle em torno de um
ponto de funcionamento.
Os passos a efectuar so os seguintes [30]:

1. Descrio das variveis de estado para cada um dos estados do sistema.


59

Num conversor que possui apenas uma chave podem ser considerados trs estados de
funcionamento, dois correspondentes ao modo de conduo contnua, um correspondente ao
estado on e o outro ao off dos transstores, e um terceiro modo relativo conduo
descontnua, que neste estudo no ser considerado. Desse modo, para o intervalo de tempo
em que a chave est conduzindo, q(t)=1 (q(t) a funo de controlo dos transstores), tem-
se:

i
u t + =
1 1
B x A ) ( x&
(4.12)
i
u t + =
1 1
E x C ) ( y
(4.13)

onde o vector x formado pela varivel de estado, A
1
matriz de estado, B
1
a matriz de
entrada, u
i
o vector de entrada, y o vector de sada, C
1
a matriz de sada e E
1
a matriz
de transmisso.
Para o intervalo em que a chave est bloqueada, ou seja, q(t)=0 tem-se

i
u t + =
2 2
B x A ) ( x&
(4.14)
i
u t + =
2 2
E x C ) ( y
(4.15)

onde A
2
a matriz de estado, B
2
a matriz de entrada, C
2
a matriz de sada e E
2
a matriz
de transmisso.

2. Produzir um modelo de mdia usando o ciclo activo d.

A partir dos dois estados descritos e das equaes apresentadas ento possvel obter um
modelo baseado na mdia dos estados do circuito num perodo de comutao, obtendo-se as
seguintes relaes:

[ ] [ ]
i
u d d d d + + + = ) 1 ( B B x ) 1 ( A A x
2 1 2 1
&

(4.16)
[ ] [ ]
i
u d d d d + + + = ) 1 ( E E x ) 1 ( C C y
2 1 2 1

(4.17)

onde q d = .

3. Introduzir pequenas perturbaes ac e separar em parte ac e dc.


60
As perturbaes, representadas por ~, so introduzidas nas quantidades DC em regime
permanente (representadas por letras maisculas). Deste modo, as variveis de estado,
entradas e sadas passam a ser representadas pela soma entre o termo DC e o termo AC,
como descrito nas equaes seguintes.

+ =
+ =
+ =
+ =
d D d
y Y y
u U u
x X x
i i i
~
~
~
~

(4.18)

Onde, x o vector das variveis de estado, u
i
o vector das variveis de excitao e y
vector das variveis de sada e d a razo cclica, sendo que as variveis maisculas
representam as grandezas em regime permanente e as variveis acompanhadas do smbolo (~)
representam suas variaes em torno de um ponto de operao.

Considerando que o desvio do vector das variveis de excitao,
i
u
~
, nulo e substituindo
(4.18) em (4.17) tem-se:


i
U d D B d D B x X d D A d D A x X )]
~
1 ( )
~
( [ ) )](
~
1 ( )
~
( [
~
2 1 2 1
+ + + + + + + + = + &
& &

(4.19)

Desprezando os termos contendo o produto entre d
~
e x
~
pode-se reescrever (4.19)
separando as parcelas e sabendo que a derivada de X zero, obtm-se as equaes que se
seguem.

d U B B X A A x A BU AX x
i i
~
] ) ( ) [(
~ ~
2 1 2 1
+ + + + =
&

(4.20)

onde,

), 1 (
2 1
D A D A A + =
(4.21)
). 1 (
2 1
D B D B B + =
(4.22)

A partir da equao (4.20) facilmente se obtm a expresso que descreve o regime
permanente do sistema, bastando para isso igualar as perturbaes e as suas derivadas a
zero.

0 = +
i
BU AX
(4.23)

61


Utilizando este resultado em (4.20) define-se ento a equao linear do sistema da
seguinte forma:

d U B B X A A x A x
i
~
] ) ( ) [(
~ ~
2 1 2 1
+ + =
&

(4.24)

Similarmente para o vector das variveis de sada tem-se:

d U E E X C C x C EU CX y Y
i i
~
] ) ( ) [(
~ ~
2 1 2 1
+ + + + = + ,
(4.25)

onde,

), 1 (
2 1
D C D C C + =
(4.26)
). 1 (
2 1
D E D E E + =
(4.27)

A equao relativa ao regime permanente :

i
EU CX Y + =
(4.28)

e finalmente,

d U E E X C C x C y
i
~
] ) ( ) [(
~ ~
2 1 2 1
+ + = ,
(4.29)

A partir das equaes (4.23) e (4.28) possvel definir o valor de sada em regime
permanente.

i i
EU BU CA Y + =
1

(4.30)

4. Passagem das equaes lineares para o domnio s.

Utilizando a transformada de Laplace em (4.24) e (4.29) tem-se:

) (
~
] ) ( ) [( ) (
~
) (
~
2 1 2 1
s d U B B X A A s x A s x s
i
+ + = ,
(4.31)

e

62

) (
~
] ) ( ) [( ) (
~
) (
~
2 1 2 1
s d U E E X C C s x C s y
i
+ + = ,
(4.32)

Isolando ) (
~
s x em (4.31) e substituindo o resultado em (4.32) pode-se obter uma funo
de transferncia T
p
(s) entre a varivel de sada ) (
~
s y e a razo cclica ) (
~
s d para o estgio
de potncia de um conversor chaveado operando no modo de conduo contnua, onde I
representa a matriz identidade.

] ) ( ) [( ] ) ( ) [( ] [
) (
~
) (
~
) (
2 1 2 1 2 1 2 1
1
i i
p
U E E X C C U B B X A A A sI C
s d
s y
s T
+ + + =
= =

,
(4.33)


4.4 Modelao do conversor proposto em espao de estados


Atendendo s caractersticas dos conversores DC/DC abordadas na seco 2.2, ao seu
circuito, apresentado na Figura 4.1, as equaes que modelam este conversor sero idnticas
s equaes que modelam um conversor buck-boost [30].
Assim sendo, as variveis para o modelo em espao de estados sero escolhidas conforme
a Tabela 4.1.

Tabela 4.1: Variveis para o modelo em espao de estados.
Variveis de estado Variveis de sada Variveis de entrada
Tenso no
Condensador 2
V
c2
= x
1
Tenso na Sada

V
o
(t)

Tenso do painel v
in
(t)
Corrente na
Bobine 1
I
L1
= x
2
Duty-cycle q (t)

De modo a simplificar o modelo de mdia, a linearizao e posteriormente a obteno da
funo de transferncia, sero apenas apresentados quatro estados de funcionamento
distintos representados na Tabela 4.2. Os transstores T
1
e T
2
do conversor so comandados
simultaneamente por um sinal PWM de alta frequncia controlando, evitando assim os modos
em que o T
1
est ON e o T
2
est OFF, e vice-versa.



63

Tabela 4.2: Estados de funcionamento associados ao conversor desenvolvido.
Estado T1 T2 T3/T4 T5/T6 D1 D2 Tenso da Rede
I ON ON ON OFF OFF OFF >0
II OFF OFF ON OFF ON ON >0
III ON ON OFF ON OFF OFF <0
IV OFF OFF OFF ON ON ON <0

Os estados I ou III so caracterizados pelo armazenamento de energia na bobina L
1
. O
esquema elctrico associado est representado na Figura 4.1.


Figura 4.3: Estados I e III do conversor proposto

Durante estes estados a corrente da bobina L
1
dada pela lei dos ns de Kirchhoff:

1 1 1 1
0
C L L C
i I i i i I + = = + ,
(4.34)

Da (4.34) conclui-se que a energia armazenada pela bobina L
1
fornecida pelo painel
fotovoltaico e pelo condensador C
1
. A amplificao de tenso que o conversor tem que
realizar leva necessidade de carregar a bobina L
1
com correntes muito superiores
fornecida pelo painel, durante o ponto mximo da onda de corrente de sada.
Este aspecto influencia a escolha do valor dos componentes. A bobina L
1
deve ter um
valor baixo que permita atingir o valor da corrente necessria, no curto espao de tempo em
que est a ser carregada. O condensador C
1
deve ter um valor elevado pois deve ser capaz de
armazenar energia suficiente que permita satisfazer os picos de corrente da bobina L
1
.
A corrente injectada na rede fornecida pela bobina L
2
e pelo condensador C
2
. Pretende-
se que a corrente injectada na rede se mantenha contnua e com pouca ondulao durante

64
este estado. Para obter esse objectivo o valor de L
2
deve ser elevado. O valor de C
2
ser
discutido quando forem referidos os estados II e IV.

Analisando a Figura 4.1 e atendendo aos dados da Tabela 4.1 chega-se ento s seguintes
relaes, sabendo que
1 C in
v v = .


R
t v
dt
dv
C i
C C
C
) (
2 2
2 2
= =
2
2 2
) (
C R
t v
dt
dv
C C

=
(4.35)

0
1
1 1
= +
dt
di
L v
L
C in
L
v
L dt
di
1
1
1
=
(4.36)

) ( ) (
2
t v t v
o C
=
(4.37)

Por sua vez as equaes anteriores permitem obter o modelo de estado para q(t)=1
(estados I e III) representado pelas relaes que se seguem:

{
in
B
L
C
A
L
C
v
L
i
v
C R
i
v

(
(

+
(

(
(

=
(

1
1
1
1
2
2
1
2
1
0
0 0
0
1
4 43 4 42 1
&
&
,
(4.38)

[ ] [ ] [ ]
{
in
E
L
C
C
o
v
i
v
v +
(

=
1
1
0 0 1
1
2
3 2 1
. (4.39)

Aps os estados I ou III, os transstores T
1
e T
2
so desligados passando para os estados II
ou IV. Estes estados so caracterizados pela transferncia de energia da bobina L
1
para o
condensador C
2
e bobina L
2
. O esquema associado o da figura seguinte, descrito pelas
equaes que se seguem.


Figura 4.4: Estados II e IV do conversor proposto

65


Neste estado o condensador C
1
carregado com a corrente I do painel fotovoltaico.
durante esta etapa que o condensador C
1
deve armazenar energia suficiente para fornecer
bobina L
1
quando necessrio. Novamente se conclui que o seu valor deve ser elevado para no
permitir que a tenso aos seus terminais seja alterada pela aco de I.

Pela anlise da Figura 4.1 verifica-se que, durante este estado, a bobina L
1
o elemento
responsvel por carregar a bobina L
2
o condensador C
2
. Pela lei dos ns de Kirchhoff:

1 2 2 1
0
L C R C R L
i i i i i i = + =

(4.40)

Para que o controlo seja o mais estvel possvel, pretende-se que o conversor funcione no
modo descontnuo. Como tal, durante este estado, a corrente armazenada em L
1
dever
anular-se. A partir desta caracterstica confirma-se que a bobina L
1
dever ter um valor
baixo.
A incluso de C
2
no conversor desenvolvido tem o objectivo de controlar a corrente da
bobina L
2
e criar um caminho de baixa impedncia. Este caminho permite a circulao da
diferena de corrente entre a bobina L
1
e L
2
, impedindo assim o aparecimento de picos de
tenso aos terminais das mesmas. O condensador C
2
deve ser carregado com uma tenso
varivel prxima da rede para controlar a corrente da bobina L
2
segundo a equao (4.41).
Para que o controlo da corrente de L
2
seja o mais dinmico possvel, conclui-se que a
varivel V
c2
deve poder alterar-se rapidamente, logo, o valor de C
2
dever ser baixo.

) V (V
L
1
i
o C2
2
L2
=


(4.41)

Analisando a Figura 4.1 e atendendo aos dados da Tabela 4.1 chega-se ento s seguintes
relaes, sabendo que
1 C in
v v = .



R
t v
t i
dt
dv
C i
C
L
C
C
) (
) (
2
1
2
2 2
= =
2
1
2
2 2
) ( ) (
C
t i
C R
t v
dt
dv
L C C
+

=
(4.42)

0 ) (
1
1 2
= +
dt
di
L t v
L
C
1
2 1
L
v
dt
di
C L
=
(4.43)

) ( ) (
2
t v t v
o C
=
(4.44)

66

Por sua vez as equaes anteriores permitem obter o modelo de estado para q(t)=0
(estados II e IV) representado pelas relaes que se seguem:

{
in
B
L
C
A
L
C
v
i
v
L
C C R
i
v

+
(

(
(
(
(

=
(

2
2
0
0
0
1
1 1
1
2
1
2 2
1
2
4 4 3 4 4 2 1
&
&
,
(4.45)

e,

[ ] [ ]
{
in
E
L
C
C
o
v
i
v
v +
(

=
2
2
0 0 1 ] [
1
2
3 2 1
. (4.46)

Utilizando as relaes (4.38), (4.39) e (4.45), (4.46) dos dois estados e desenvolvendo para se
obter o modelo de mdia dado por (4.16) e (4.17) chega-se ento as seguintes expresses que
descrevem este conversor segundo um modelo de mdia em espao de estados.

( )
( )
in
d B d B
L
C
d A d A
L
C
v
d
L
i
v
d
L
d
C C R
i
v

(
(

+
(

(
(
(
(

=
(

+
+
3 2 1
4 4 4 4 3 4 4 4 4 2 1
&
&
1
1
1
2
1
1
2 2
1
2
2 1
2 1
1
0
0 ) 1 (
1
) 1 (
1 1
,
(4.47)

e,

[ ] [ ]
( )
[ ]
( )
{
in
d E d E
L
C
d C d C
o
v
i
v
v +
(

=
+
+
1
1
2
1 2 1
2 1
0 0 1
3 2 1
.
(4.48)

A linearizao efectuada da forma descrita anteriormente na seco 4.3.3 no terceiro
ponto. Utilizando (4.24) e (4.29) juntamente com as equaes que descrevem o modelo de
mdia (4.47) e (4.48) obtm-se o seguinte resultado para o modelo linearizado:

( ) ( )
d
L
v v
i
C
i
v
D
L
D
C C R
i
v
i
U B B X A A
in C
L
L
C
A
L
C
~
1
~
~
0 ) 1 (
1
) 1 (
1 1
~
~
2 1 2 1
1
2
1
2
1
2
1
2 2
1
2

(
(
(
(

+
(

(
(
(
(

=
(

+
43 42 1 4 4 4 4 3 4 4 4 4 2 1
&
&
,
(4.49)

67


e,

[ ] [ ] [ ]
( ) ( )
{
d
i
v
v
i
U E E X C C
L
C
C
C
~
0
~
~
0 1
~
2 1 2 1
1
2
2
+
(

=
+
3 2 1

(4.50)

Com estes resultados pode-se ento chegar funo de transferncia aplicando a estes
modelos a equao (4.33).

2 1
2
2
2
1
2
1
2
1 2
) 1 (
) 1 (
) (
~
) (
~
) (
C L
D
C R
s
s
L
V V
I
D
s
C
I
s d
s v
s T
in C
L L C
p

+
|
|

\
| +

+
= = ,
(4.51)

A equao (4.51) representa a funo de transferncia, relacionando as variaes da
tenso do condensador com as variaes no ciclo activo. Este resultado ser muito
importante para o projecto de um controlador eficiente. A anlise feita at aqui impe que o
controlo seja baseado em controlo da tenso de sada.

A estrutura do conversor mostrado na Figura 4.1 pode ser representada [46], sem perda
de generalidade, como a fonte controlada da tenso V
C2
(t), apresentada na Figura 4.1, onde
V
o
(t) a tenso da rede e o I
L2
(t) a corrente de sada do sistema fotovoltaico.
Na Figura 4.1 o fluxo de energia controlado pelo I
L2
(t). Esta corrente definida pela
diferena da tenso entre as fontes V
i
(t) e V
o
(t), aplicada atravs da impedncia. Neste caso,
como a impedncia uma indutncia pura, a corrente ser igual ao integral da tenso atravs
dela. Como V
o
(t) conhecido, uma vez que a tenso da rede de servio pblico, V
i
(t) a
tenso imposta, consequentemente V
L
(t), de forma conveniente, uma maneira de obter a
corrente de sada desejada atravs do indutor. Assim:

) ( ) ( ) ( t V t V t V
o i L
= .
(4.52)


68

Figura 4.5: Circuito simplificado equivalente do Conversor proposto

PWM define um sinal modulado composto da reproduo do espectro do sinal de
modulao, cuja amplitude definida pela modulao, adicionada aos componentes
harmnicos das frequncias que so mltiplos da frequncia do interruptor. Ignorando o
efeito dos componentes harmnicos da frequncia do interruptor na tenso V
i
(t), uma vez
que o indutor funciona como um baixo filtro de passagem para a corrente, a tenso imposta
atravs do indutor representado simplesmente por (4.52).
A Figura 4.1 mostra a aplicao da equao anterior em que o conversor permite que a
tenso seja imposta atravs do indutor L
2
, segundo as indicaes do circuito equivalente da
Figura 4.1.


Figura 4.6: Diagrama de blocos do circuito equivalente simplificado.

desejado que a corrente de seja um espelho do V
o
(t) como expressado em (4.53). No
obstante, de acordo com (4.54), a tenso do indutor a derivada da corrente que passa nele.
Consequentemente, a equao (4.55) descreve a tenso Vi (t), que, definido de facto pelo
loop de controlo, deve apresentar um seno, a fim anular o efeito do V
o
(t), e um co-seno,
que, pela composio, seja a tenso resultante imposta atravs do indutor,
consequentemente, garantindo uma corrente sinusoidal.
Na prtica, na frequncia da rede, o indutor uma reactncia muito pequena, fazendo
com que a queda de tenso atravs do indutor seja menor do que a tenso da rede.

( ) t I t I
L
sin 2 ) (
2
= ,
(4.53)

( )
( ) t I L
dt
t dI
L t V
L
L
cos 2 ) (
2
2
2 2
= = ,
(4.54)

69


( ) ( ) t V t I L t V
rms rms i
sin 2 cos 2 ) (
2
+ = .
(4.55)

A Figura 4.1 demonstra a estratgia clssica do controlo, em que Vi (t) determinado
pelo sinal actual do erro que passa atravs do compensador e o sinal do erro a diferena
entre uma amostra da corrente e sua referncia.
Observa-se, entretanto, que o V
o
da tenso da sada (t) aparece como um distrbio no
modelo. Na Figura 4.1, o bloco que contm T
p
, representa a funo de transferncia
calculada em (4.51), que relaciona as variaes da tenso do condensador com as variaes
no ciclo activo.

Figura 4.7: Diagrama de blocos do controlo clssico

Do diagrama de bloco da Figura 4.1, o erro actual do sinal igual:

( ) ( ) ( ) t i t i t e
L Lref
= .
(4.56)

Para o conversor proposto necessrio realizar um controlo em corrente, como visto na
Figura 4.1. Para isso necessrio obter uma funo de transferncia (4.57) que relacione a
corrente de sada, com o ciclo activo, sendo necessrio para isso considerar uma diferente
varivel de sada, no caso i
L2
(t).

( )
|
|

\
|

+
|
|

\
|

+
+


= =
2 1
2
2
2
2 1 2
2
2
2 2
1
2
2
2
) 1 (
) 1 ( ) 1 (
) (
~
) (
~
) (
C L
D
C R
s
s s
C L L
D V V V D
C L
I
s
L
V
s
s d
s i
s T
o in C L o
L
i

(4.57)

A equao (4.57) representa a funo de transferncia do conversor, relacionando as
variaes da corrente de sada com as variaes no ciclo activo.



70
4.5 Verificao da validade dos modelos obtidos para o conversor
proposto

Para verificar a validade das equaes apresentadas anteriormente recorreu-se aos
softwares de simulao, PSIM e MATLAB.
No PSIM realizou-se a simulao de trs circuitos elctricos, um capaz de simular o
comportamento real do conversor representado na Figura 4.1, onde se controla a base do
transstor em malha aberta com uma onda PWM genrica, um outro circuito capaz de simular
a mdia do conversor e por fim um circuito j linearizado.
O MATLAB foi utilizado para simular o modelo de mdia em espao de estados,
recorrendo-se para isso ao Simulink onde se criou um esquema como o da Figura 4.1.
Os valores dos componentes para esta verificao foram escolhidos aleatoriamente, pois o
objectivo aqui comparar a resposta dos dois simuladores. Para melhor visualizar se os
modelos obtidos estavam bem implementados, a bobina L
2
e a rede foram substitudas por
uma carga, R.


Figura 4.8: Circuito de simulao de valores instantneos em PSIM.


Figura 4.9: Simulao do modelo de mdia em Simulink.

Para construir um circuito no PSIM que simule a mdia do conversor, recorreu-se ao
modelo de mdia de comutao para conversores de altas-frequncias [28].
Este procedimento consiste em substituir todos os interruptores que existam no circuito
original pelo modelo de mdia. Este modelo possui um ponto mdio e duas posies de
funcionamento, ou seja, permite substituir dois interruptores que existam no circuito
original e que comutem alternadamente [28]. Uma vez que este conversor proposto s tem

71

dois elementos que funcionem como interruptor, os dois transstor e os dois dodos, e
comutam alternadamente, quando um conduz o outro no, s necessrio usar um modelo
de mdia de comutao.



Figura 4.10: (a) Modelo de comutao para conversores de altas-frequncias. (b) modelo
aproximado mdia de comutao para conduo contnua com duty-cycle d, usando fontes
controladas. (c) modelo aproximado mdia de comutao usando transformador ideal. [28]

Substituindo ento os transstores e os dodos pelo modelo de mdia da Figura 4.1 (b) uma
vez que este que nos permite a variao do ciclo activo, obtemos o circuito da Figura 4.1.


Figura 4.11: Circuito de simulao do modelo de mdia de conversor em PSIM.

Este procedimento consiste em substituir todos os interruptores que existam no circuito
original pelo modelo de mdia. Este modelo possui um ponto mdio e duas posies de
funcionamento, ou seja, permite substituir dois interruptores que existam no circuito
original e que comutem alternadamente [28]. Uma vez que este conversor proposto s tem
dois elementos que funcionem como interruptor, os dois transstor e os dois dodos, e

72
comutam alternadamente, quando um conduz o outro no, s necessrio usar um modelo
de mdia de comutao.
Os resultados obtidos da simulao so os seguintes:


Figura 4.12: Resposta do circuito instantneo (Vo) e do circuito de mdia (Vo_media).

Observando a Figura 4.1, pode-se verificar que o circuito de mdia da Figura 4.1
(Vo_media) simula correctamente a mdia do circuito instantneo da Figura 4.1 (Vo).
Comparando agora a resposta do modelo de mdia implementado em PSIM com a resposta
das equaes do modelo de media implementado em Simulink obteve-se os seguintes
resultados:



73


Figura 4.13: Respostas obtidas com o modelo da mdia para diferentes valores de tenso de
entrada, v
in
: (a) PSIM; (b) Simulink

De seguida, comparando a respostas, variando a carga, obteve-se os seguintes resultados:




Figura 4.14: Respostas obtidas com o modelo da mdia para diferentes valores de carga, R:
(a) PSIM; (b) Simulink, R=2 (amarela), R=10 (roxa) e R=20 (azul).


74
Pela anlise da Figura 4.1 e Figura 4.1 pode-se observar que os resultados obtidos no
Simulink so iguais aos resultados obtidos pela mdia simulada em PSIM. Este resultado
comprova que as equaes deduzidas descrevem correctamente o funcionamento do
conversor em estudo. Comprovada a validade do modelo de mdia pode-se ento partir para
a verificao do modelo de linearizao.
Para simular o modelo linearizado no PSIM, recorreu-se ao modelo de mdia de
comutao linearizado para conversores de altas-frequncias [28], representado na Figura
4.1.



Figura 4.15: Modelo de mdia de comutao linearizado para conversores de altas-
frequncias [28].

Substituindo de novo os transstores e os dodos desta vez pelo modelo linearizado de
comutao obtm-se o circuito da Figura 4.1.


75


Figura 4.16: Circuito de simulao do modelo de linearizao do conversor em PSIM.

76

Os parmetros DC usados no modelo de linearizao (Ix e Vyz) foram medidos no modelo
de mdia depois de o sistema estabilizar tendo sido fornecido um ciclo activo D=0.6 e
Vin=30V. Neste circuito foi introduzida a possibilidade de no instante t=10ms acontecer uma
perturbao,
in
v
~
ou d
~
. A simulao do modelo de linearizao no Simulink semelhante ao
modelo de mdia. Todos os resultados tm como pressuposto pequenas variaes no ciclo
activo, (variaes no superiores a 10%) uma vez que este modelo s se aplica dentro desta
gama de valores.


Figura 4.17: Simulao da linearizao do modelo de mdia no Simulink.

Os resultados obtidos das simulaes foram os seguintes:



77

Figura 4.18: Respostas obtidas quando se aumenta o ciclo activo d
~
=0.01: (a) no modelo de
mdia em PSIM e no circuito de linearizao em PSIM; (b) no modelo linearizado em espao
de estados no Simulink.



Figura 4.19: Respostas obtidas quando se aumenta o ciclo activo d
~
=-0.01: (a) no modelo de
mdia em PSIM e no circuito de linearizao em PSIM; (b) no modelo linearizado em espao
de estados no Simulink.

Como se pode verificar pela anlise da Figura 4.1, todos os circuitos respondem de igual
forma s perturbaes do ciclo activo, confirmando a validade da linearizao.
De seguida, estuda-se a resposta quando a entrada V
in
sujeita a perturbaes. Na
simulao em Simulink no possvel provocar uma variao na entrada V
in
, pois a nica
entrada deste sistema as variaes do ciclo activo, uma vez que inicialmente se considerou
que o valor de entrada no sofria variaes.


78

Figura 4.20: Respostas obtidas quando se introduz uma perturbao na tenso de entrada,
V v
in
3
~
= no modelo de mdia em PSIM e no circuito de linearizao do conversor em PSIM.


Figura 4.21: Respostas obtidas quando se introduz uma perturbao na tenso de entrada,
V v
in
3
~
= no modelo de mdia em PSIM e no circuito de linearizao do conversor em PSIM.

Todos os resultados obtidos apresentam um comportamento esperado, comprovando a
validade do modelo linearizado deduzido.
Verificada a validade dos modelos de mdia e de linearizao da mdia, de seguida
comprova-se a validade da funo de transferncia T
p
(s) da equao (4.33).


Figura 4.22: Simulao da funo de transferncia T
p
(s) em Simulink.

79


Utilizando de novo o Simulink para validar o circuito da Figura 4.1, os resultados obtidos
foram os seguintes:



Figura 4.23: Resultados obtidos na simulao da funo de transferncia, variando o ciclo
activo: d
~
=0.01 (a), e d
~
=-0.01 (b).

Comparando estes resultados com os resultados da Figura 4.1 e Figura 4.1 verifica-se que
as respostas so iguais, validando assim, a funo de transferncia determinada que relaciona
as variaes da tenso de sada, com as variaes do ciclo activo.
Por fim, para comprovar a validade da funo de transferncia que relaciona a corrente
de sada com o clico activo (4.57), realizou-se a mesma simulao utilizada para comprovar a
validade dos modelos para tenso.
Os resultados esto representados nas figuras seguintes:


80


Figura 4.24: Respostas obtidas quando se perturba o ciclo activo d
~
=0.01: (a) no modelo de
mdia em PSIM e no circuito de linearizao em PSIM; (b) no modelo linearizado em espao
de estados no Simulink.



81


Figura 4.25: Respostas obtidas quando se perturba o ciclo activo d
~
=-0.01: (a) no modelo de
mdia em PSIM e no circuito de linearizao em PSIM; (b) no modelo linearizado em espao
de estados no Simulink.


Finalmente, atravs da anlise das figuras anteriores verifica-se que as respostas nos dois
simuladores so iguais, comprova-se assim, a validade da funo de transferncia que
relaciona a corrente de sada com o clico activo (4.53).

4.6 Concluses

Neste captulo, apresentou-se a modelao, em espao de estados, do conversor
proposto. Foi feita uma exposio terica do mtodo de modelao de conversores por
espao de estados e, seguindo essa orientao terica, obteve-se a funo de transferncia
do conversor em anlise. Com isto, cumpriu-se o objectivo deste captulo: obteno de uma
funo de transferncia adequada para a implementao de um controlador.
De referir que se realizaram dois modelos distintos. Um que levou obteno de uma
funo de transferncia que relaciona a tenso de sada com o duty-cycle, e outro que
relaciona a corrente de sada com o duty-cycle. Na posse destes dois modelos, pode-se,
agora, projectar um controlador para a tenso de sada ou a corrente, conforme o mais
adequado ao caso em estudo.
Ainda neste captulo, foram apresentadas diversas formas de onda que permitem validar
os modelos de mdia e lineares utilizados para se obter as funes de transferncia. Por fim,
pode-se concluir, da anlise das funes de transferncia obtidas, que o comportamento do
conversor proposto muito influenciado pelo valor utilizado nos diferentes componentes,
logo, o controlo dever ser projectado com esta ressalva.


82

83



Captulo 5

Controlador MPPT
5.1 Introduo

Atendendo as caractersticas de um painel fotovoltaico conclui-se que este apresenta um
ponto de funcionamento de mxima potncia para um determinado estado de
funcionamento, dependente da temperatura e da radiao solar. Partindo do modelo
simulao do painel, foi testado e posteriormente utilizado um algoritmo MPPT [27] de modo
a permitir obter do painel fotovoltaico o mximo de potncia possvel em todo o instante de
tempo.
O mtodo Hill Climbing, apresentado na seco 2.3.1, foi o escolhido para o algoritmo
MPPT. O algoritmo mede a tenso e corrente dos painis fotovoltaicos e calcula a potncia
fornecida por estes. A potncia medida comparada com a medio da iterao anterior e,
em funo do resultado obtido, juntamente com o conhecimento da alterao efectuada
referncia de corrente na iterao anterior, o algoritmo decide em que zona da curva de
potncia do painel se encontra. Termina alterando o ndice de modulao, M, com o
objectivo de atingir o ponto de potncia mxima do painel. O algoritmo deve ser sempre
aplicado no incio da onda de corrente injectada, mantendo-se constante o ndice de
modulao at ao fim do perodo. Esta caracterstica permite que as arcadas positivas e
negativas da onda de corrente sejam simtricas.
O fluxograma do algoritmo proposto em [27] representado na Figura 4.1.


84

Figura 5.1: Algoritmo MPPT implementado [27]

De [27] apresenta-se o algoritmo apresentado na Figura 4.1 modelado em PSIM

tendo-se
obtido os seguintes esquemas de controlo:


85


Figura 5.2: Esquema do detector de mximo e algoritmo MPPT implementado [27].

86

O esquema da Figura 5.2 representa o detector de mximo e o algoritmo implementados
em PSIM

. A medida de tenso e corrente dos painis fotovoltaicos so multiplicadas para


calcular a potncia instantnea do painel. O resultado obtido comparado com a potncia
mxima registada. Caso seja superior registada, a nova medida de potncia guardada.
O esquema recebe o pico de potncia detectado, retira-lhe ou adiciona-lhe uma varivel
fixa, e compara-o com o valor registado na iterao anterior. A alterao da medida do pico
de potncia pela varivel fixa permite uma margem de segurana, que impede que a tenso
do painel fotovoltaico ultrapasse a tenso de potncia mxima. A variao de potncia perto
do seu mximo pequena, pelo que com esta alterao, o algoritmo consegue detectar que
se encontra nessa zona e assim, contrariar a tendncia do painel, em ultrapassar o ponto de
potncia mximo.
O resultado da comparao multiplicado por uma varivel que indica se, na iterao
anterior, o ndice de modulao foi aumentado ou diminudo. Em seguida o algoritmo
actualiza M, segundo os resultados obtidos, mantendo assim o painel no estado que fornece o
mximo de potncia.


5.2 Concluses

Ao longo deste captulo foi apresentado o controlador MPPT que vai ser usado na anlise
de resultados do Captulo 6. O objectivo deste controlador permitir obter do painel
fotovoltaico o mximo de potncia possvel em todo o instante de tempo.
Foi seguido o algoritmo Hill Climbing com uma modificao para satisfazer as
necessidades do conversor. Este algoritmo foi implementado em PSIM

, com um circuito
analgico.


87


88


Captulo 6

Controlador


6.1 - Introduo

Em projectos que incluem conversores a tenso e a corrente de sada dependem de vrios
elementos. desejvel que sada se obtenha uma tenso ou corrente constantes, mesmo na
presena de perturbaes.
Apesar das tcnicas existentes para a construo de conversores, o que lhes pode conferir
imunidade maioria das perturbaes externas, no se pode garantir que a escolha por
determinado ciclo activo leve a que a sada se mantenha dentro da gama de valores
desejvel. Assim sendo necessrio recorrer a tcnicas de realimentao que permitam o
controlo das grandezas desejadas.
A realimentao consiste na construo de um circuito que automaticamente ajusta o
ciclo activo do conversor de forma a obter a sada desejada com boa regulao, mesmo na
presena de perturbaes. Com esta tcnica pretende-se garantir uma boa performance aos
conversores.
A figura que se segue representa o diagrama de um sistema com realimentao.


89


Figura 6.1: Conversor proposto com malha de realimentao.

Esta tcnica consiste na obteno de um sinal de erro, Verr, gerado pela diferena entre
o valor real da grandeza a controlar, medido por um sensor H(s), e entre um valor de
referncia [29]. A referncia normalmente representa o valor desejado para a grandeza em
anlise. O sinal de erro ser analisado por um compensador (G
c
(s)), originando na sada um
sinal de controlo que servir para a gerao da onda PWM apropriada para levar o conversor
para o ponto de funcionamento desejado. O compensador tenta tornar verdadeira a relao
H
V
=Ref, ou seja, tornar o erro igual a zero.
Assim, este captulo analisa alguns tipos de compensadores existentes e tcnicas para os
projectar devidamente. Aps esta anlise, ser discutido e projectado um compensador
adequado para, com o conversor estudado, se controlar o trnsito de energia dos painis
solares para a rede.

6.1 Malha de realimentao

Para o projecto de um sistema com realimentao eficiente desejvel conhecer a
funo de transferncia do processo em malha aberta, tal como descrito no captulo 4. Com
esta informao podem-se estudar os efeitos da malha de realimentao nas grandezas de
controlo, ou seja, no comportamento global do sistema.


90

Figura 6.2: Diagrama de blocos de uma malha de realimentao.

O ganho de malha aberta T(s), descrito como o produto dos diferentes ganhos da malha
de realimentao.

). ( ) ( ) ( s H s G s T = (6.1)

de grande utilidade a obteno desta, uma vez que as perturbaes na sada sero
multiplicadas pela seguinte equao:

.
) ( 1
1
s T +

(6.2)

A equao (6.2) mostra que para valores elevados do ganho de realimentao T(s) a
influncia das perturbaes na sada ser bastante reduzida ou at desprezada. Um elevado
ganho de realimentao leva tambm a que a sada seja aproximadamente igual ao quociente
entre a tenso de referncia e o ganho de H(s), com muito pouca dependncia dos restantes
ganhos da malha. O ganho T(s) pode ser visto como uma medida da performance da malha de
realimentao.
Admite-se o diagrama de blocos da figura seguinte, onde o conversor um bloco que
representa a Figura 6.1 e o valor Vm diz respeito tenso da onda PWM.


Figura 6.3: Diagrama de blocos de uma malha de realimentao.


91

Pode-se descrever o ganho da malha de realimentao pela seguinte equao, sendo T
i
(s)
a funo de transferncia do conversor.

), ( ) ( .
1
). ( ) ( s H s T
Vm
s G s T
i c
= (6.3)

Da equao fcil verificar que o ganho da malha de realimentao modifica a funo de
transferncia em malha aberta e consequentemente a performance do sistema.
Outra particularidade da realimentao tornar a funo de transferncia entre a
referncia e a sada insensvel aos ganhos.
De facto, tomando como referncia a Figura 6.2, a funo de transferncia de malha
fechada, entre a referncia e a sada dada por:

.
) ( ) ( 1
) (
) (
) (
s H s G
s G
s R
s Y
+
=
(6.4)

Logo, a funo de transferncia em malha fechada entre o valor referncia e a sada
tomando como referncia a Figura 6.3 ser dada por:

.
) ( 1
) (
) (
1
s T
s T
s H v
v
ref
o
+
=
(6.5)

Analisando a equao anterior facilmente se conclui que para valores elevados de T(s),
(T(s) >> 1) a funo de transferncia reduz-se ao inverso do ganho do sensor H(s), o que
mostra a independncia do sistema aos restantes ganhos da malha de realimentao.

6.1.1 Estabilidade

Um sistema estvel em malha aberta pode tornar-se instvel com a introduo de
realimentao. Mesmo que T(s) no apresente plos no semi-plano direito a funo de
transferncia em malha fechada pode apresentar. Neste caso a realimentao no consegue
regular a sada do sistema e observam-se oscilaes.
Quando a realimentao leva o sistema instabilidade isto significa que (1+T(s)) tem
razes no semi-plano direito.
A utilizao do critrio de estabilidade de Nyquist permite verificar qual o nmero de
razes no semi-plano direito do sistema, avaliando apenas o ganho, T(s). Com esta informao
pode-se inferir sobre a estabilidade do sistema. Contudo, esta tcnica complexa e optar

92
pelo critrio da margem de fase, que um caso especial do critrio de Nyquist,
normalmente suficiente [29].
A margem de fase de um sistema dada por:

) 2 ( 180
c m
f j T + =
(6.6)

ou seja, a fase do sistema frequncia de travessia, definida por:

dB f T
c
0 1 ) 2 ( =
(6.7)

Caso exista apenas uma frequncia de crossover e se T(s) no apresente plos no semi-
plano direito, ento o sistema estvel se a margem de fase for positiva. Caso existam vrias
passagens pela linha de 0dB este mtodo pode ser ambguo pelo que se deve optar por outras
abordagens para verificar a estabilidade do sistema.
O mesmo acontece quando T(s) apresenta razes no semi-plano direito. A margem de
ganho tambm um valor importante no estudo da estabilidade de um sistema. Esta
grandeza corresponde magnitude da resposta do sistema em malha fechada, medida abaixo
da linha de 0dB, na frequncia em que a fase do sistema, sempre que se verifique, atravessa
a linha de -180. Caso a margem do sistema cruze a linha de -180, a margem de ganho deve,
normalmente, ser superior a 10dB. Isto, para evitar que a resposta do sistema se torne
oscilatria devido a variaes dos parmetros ou outras perturbaes [80].
Na Figura 6.4 esto representadas as definies de margem de fase e de margem de
ganho. Aparece tambm definida a frequncia de crossover, f
c
.



Figura 6.4: Definio de margem de fase e margem de ganho.

93


6.2 Caractersticas dos Controladores

Assegurar uma margem de fase positiva no suficiente para garantir que o sistema
tenha uma boa performance. A margem de fase deve ser tambm suficiente para se verificar
um baixo overshoot do sistema, uma vez que o overshoot, o coeficiente de amortecimento e
a margem de fase esto relacionados pelas expresses seguintes, respectivamente.

%, 100
2
1
=


e overshoot
(6.8)

) cos( 2
) sin(
) ln(
1
1
2
m
m
overshoot

=
|
|

\
|
+
=
(6.9)

|

\
|
+ + =
2 4
2 1 4 2 arctan
m

(6.10)

Considere-se um factor Q, relacionado com o factor de amortecimento, , pela equao
(6.11), de modo a obter-se um parmetro que permita uma relao directa com a amplitude
do overshoot em malha fechada, tal como proposto em [29].


=
2
1
Q
(6.11)

Assim, possvel obter as seguintes equaes, que relacionam Q com a margem de fase,
m.
m
m
Q

cos
sin
=
(6.12)

|
|
|

\
|

+ +
=

4
4
1
2
4 1 1
tan
Q
Q
m

(6.13)

Estas relaes esto representadas na Figura 6.5.


94

Figura 6.5: Relao entre o coeficiente de amortecimento e margem de fase.

Pode-se observar que uma margem de fase de 52 permite obter Q=1 e para se obter
Q<0.5, ou seja, plos reais, o sistema deve apresentar uma margem de fase de 76. Uma
margem de fase muito baixa leva a um factor Q com elevada magnitude, indicando que se
deve manter a margem de fase acima de determinados limites.
Analisando um sistema de segunda ordem, em malha fechada, representado na forma
quadrtica normalizada da equao (6.9) [29].

2
1
1
) (
|
|

\
|
+

+
=
c c
w
s
w Q
s
s T
(6.14)

Em que wc representa a frequncia natural no amortecida em radianos, a resposta ao
degrau, para diferentes valores de Q, pode ser consultada na Figura 6.6.


Figura 6.6: Resposta ao degrau para diferentes Q.


95

Pela anlise da figura podem-se distinguir trs situaes particulares consoante os valores
de Q:

Sobreamortecido quando Q<0.5;
Criticamente amortecido quando Q=0.5;
Subamortecido quando Q>0.5.

Um sistema sobreamortecido possui a resposta mais rpida, contudo apresenta overshoot.
Isto no necessariamente uma desvantagem dos sistemas sobreamortecidos, pois mantendo
o overshoot dentro de certos limites tolervel.
Por sua vez numa situao criticamente amortecida, como a resposta a malha fechada
apresenta dois plos reais a resposta ao degrau mais rpida pois o plo de menos frequncia
apresenta uma frequncia superior. Este o caso em que se verifica a resposta mais rpida
sem ocorrer overshoot.
Um sistema subamortecido tem a caracterstica de apresentar uma resposta ao degrau
lenta causada pelos plos de baixa frequncia.

6.3 Tipos de Controladores

Em processos de controlo invariantes no tempo, podem-se utilizar dispositivos de
parmetros fixos, os chamados controladores clssicos. Estes controladores so bastante
econmicos e atingem desempenhos satisfatrios. Nesta seco sero estudados estes
controladores, em concreto o compensador por avano de fase, o compensador por atraso de
fase e o compensador por avano e por atraso de fase.

6.3.1 Compensador por avano de fase ou PD

Este compensador utilizado para melhorar a margem de fase. Adiciona um zero no
sistema a uma frequncia muito abaixo da frequncia de travessia de modo a aumentar
margem de fase. Um compensador deste tipo normalmente utilizado em sistemas com dois
plos. A altas-frequncias este zero faz com que o compensador derive o sinal de erro, dai
tambm se chamar de compensador proporcional derivativo, PD.
Com este tipo de compensador tambm possvel o aumento da largura de banda da
malha de realimentao, mantendo uma boa margem de fase. Uma vez que este
compensador introduz um zero no sistema, devem ser tomadas precaues, durante o
projecto, para garantir que o ganho do sistema seja unitrio para a frequncia de crossover

96
desejada. Isto porque a incluso de um zero faz com que o ganho aumente 20db/dcada com
a frequncia.
De especial interesse, no projecto de um compensador por avano de fase, a anlise
dos harmnicos, frequncia de comutao, que se verificam no sinal de sada e
consequentemente no sinal de realimentao. Isto implica, que se o ganho do compensador
frequncia de comutao for muito elevado ento os harmnicos sero amplificados pelo
compensador. Isto pode levar ao mau funcionamento do modulador de onda PWM, [29]. Para
evitar este fenmeno deve-se incluir no projecto a restrio de colocar a frequncia de
crossover, pelo menos, a uma frequncia 10% superior frequncia de comutao.
A funo de transferncia de um compensador por avano de fase a que se segue:

|
|

\
|
+
|
|

\
|
+
=
p
z
co c
s
s
G s G

1
1
) (
(6.15)


Figura 6.7: Diagrama de Bode de um compensador por avano de fase.

A mxima margem de fase ocorre para f
max
dada pela equao:

p z
f f f =
max


(6.16)

Assim para se obter a maior margem de fase possvel o compensador deve ser projectado
para que f
max
coincida com fc. Nesta frequncia a fase :


97

( )
|
|
|
|
|

\
|

=
2
arctan
max
p
z
z
p
c
f
f
f
f
f G


(6.17)


Figura 6.8: Relao entre a fase e as frequncias de um compensador por avano.

Desenvolvendo a equao (6.14) chega-se relao que se segue.

( ),
) sin( 1
) sin( 1
max

f G com
f
f
c
p
z
=
+

=
(6.18)

Com o resultado anterior podem-se escrever as equaes que permitem o clculo das
frequncias fp e fz para a margem de fase pretendida para o sistema.

) sin( 1
) sin( 1

=
c z
f f
(6.19)

.
) sin( 1
) sin( 1

+
=
c p
f f
(6.20)

Para garantir que o ganho unitrio frequncia de travessia, o ganho do compensador
deve ser calculado pela equao:
p
z
co
f
f
G =
(6.21)


98
Pode-se observar que o ganho Gc0 do compensador menor que a unidade o que leva a
que o compensador reduza o ganho da malha de realimentao. O ganho pode, no entanto,
ser alterado para outros valores sempre que seja desejvel alterar a frequncia de crossover.

6.3.2 Compensador por atraso de fase ou PI

O compensador por atraso de fase utilizado para aumentar o ganho s baixas
frequncias de modo a garantir uma melhor regulao para frequncias DC e frequncias
muito abaixo da frequncia de travessia.
A funo de transferncia deste tipo de compensador a que se segue:

|

\
|
+ =

s
G s G
L
c c

1 ) (
(6.22)


Figura 6.9: Diagrama de Bode de um compensador por atraso de fase tpico.

Escolhendo f
L
suficientemente baixo em relao frequncia de crossover a margem de
fase no modificada, [78], o que pode ser desejvel em muitas aplicaes. Como o ganho
do compensador pode ser escolhido para ser muito elevado para frequncias muito baixas,
prximas de zero, [78] o ganho da malha de realimentao torna-se elevado nestas
frequncias. Isto faz com que a componente DC, do sinal de erro, seja prxima de zero e, em
consequncia, a tenso de sada em regime permanente ser perfeitamente regulada.
Verifica-se tambm uma reduo significativa do ganho relativo s perturbaes na sada.
Apesar de se poder utilizar este compensador com praticamente todo o tipo de sistemas com

99

realimentao, a sua aplicao em sistemas contendo apenas um plo bastante mais
simples e directa, [78].
Tomando como exemplo um sistema sem compensao do tipo,

|
|

\
|
+
=
o
uo
uo
s
T
s T

1
) (
(6.23)

Aplicando o compensador dado por (6.22) o ganho do sistema ser dado pelo produto dos
dois ganhos. O ganho do compensador deve ser escolhido para se obter a frequncia de
crossover desejada. Aproximando o ganho do sistema com compensao pela assmptota de
altas-frequncias, como sugerido por [78] pode-se escrever a relao (6.24), aplicada s
altas-frequncias.

|
|

\
|

=
o
uo uo
f
f
G T
T
(6.24)

frequncia de crossover, f=fc, o ganho de (6.20) ser unitrio logo a frequncia de
crossover ser:

o uo uo c
f G T f
(6.25)

Assim, para se obter a frequncia de crossover desejada o ganho do compensador deve
ser escolhido segundo a relao imposta por:

o uo
c
c
f T
f
G


(6.26)

A frequncia do compensador deve, posteriormente, ser escolhida para ser
suficientemente baixa, relativamente a fc, para se manter uma margem de fase adequada.
Para concluir a anlise apresenta-se, na Figura 6.9, a representao por diagrama de
Bode da relao
( ) ) ( 1
1
s T +

do sistema em anlise.


100

Figura 6.10: Diagrama de Bode de
( ) ) ( 1
1
s T +

de um sistema com compensador por atraso de
fase.

Pode-se observar que para frequncias menores do que fL, o compensador melhora a
rejeio s perturbaes. Em frequncias prximas de zero, onde a magnitude do ganho
tende para infinito, a magnitude de
( ) ) ( 1
1
s T +
tende para zero. Logo, a magnitude das
perturbaes que afectam a sada em regime permanente tendero igualmente para zero.

6.3.3 Compensador por avano e atraso de fase ou PID

As vantagens dos dois compensadores anteriores podem ser combinadas, o que permite
obter uma boa largura de banda e erro em regime permanente baixo. Esta combinao
traduzida por um compensador por avano e atraso de fase, cuja funo de transferncia
dada pela equao:

|
|

\
|
+
|
|

\
|
+
|
|

\
|
+
|

\
|
+
=
2 1
1 1
1 1
) (
p p
z
L
c c
s s
s
s
G s G
m

(6.27)

O seu diagrama de Bode representado na Figura 6.11.


101


Figura 6.11: Diagrama de Bode de um compensador por avano e atraso de fase tpico.

s baixas frequncias, o compensador integra o sinal de erro, o que conduz a ganhos de
realimentao elevados e a uma boa regulao da tenso de sada. s altas-frequncias,
prximas da frequncia de crossover, o compensador introduz avano de fase, melhorando a
margem de fase do sistema. Estas caractersticas so geradas por wL, que introduz um
comportamento igual ao do compensador por atraso de fase, e por wz que introduz o mesmo
comportamento verificado num compensador por avano de fase.
Os plos s frequncias fp1 e fp2 so utilizados para reduzir o ganho s altas-frequncias
para prevenir que a ondulao gerada pelas comutaes dos elementos de comutao
interfiram com o modulador de onda PWM, [78].
Assim, um requisito do projecto deste tipo de controladores escolher a frequncia de
comutao superior a fL e a fz mas, menor do que fp1 e fp2. As observaes realizadas para os
outros compensadores so igualmente verificadas para o compensador por avano e atraso de
fase.

6.4 Implementao do controlador para o conversor proposto

Para a escolha do valor de cada um dos componentes presentes no esquema da Figura
4.1, optou-se pelo uso de um mtodo emprico. Sabendo as caractersticas de cada elemento
e recorrendo a simulaes efectuadas em PSIM

, foram analisadas as respostas das principais


variveis e, em funo destas, foi optimizado o valor de cada um dos elementos.
Comeamos a anlise dos componentes pelo condensador C
1
que deve ter um valor
elevado de modo a permitir armazenar energia para alimentar L
1
, ao mesmo tempo que
mantm constante a tenso do painel fotovoltaico no valor pretendido. Analisando a onda de
tenso associada a este elemento, verifica-se que apresenta uma componente de ondulao

102
de alta-frequncia, por aco da comutao dos transstores, e uma componente de
ondulao de baixa frequncia, associada frequncia da onda de corrente gerada pelo
conversor. Assim, o condensador C
1
deve ser suficientemente elevado para permitir reduzir a
ondulao registada, e suficientemente baixo para que a sua resistncia srie associada no
prejudique o rendimento. A partir do mtodo emprico determinou-se para o condensador C
1

o valor de 44 mF.
A bobina L
1
deve ter um valor suficientemente baixo para alterar rapidamente a corrente,
permitindo assim que seja carregada com correntes elevadas e permitir a amplificao de
tenso, mantendo o modo de funcionamento descontnuo do conversor. Analisando a forma
de onda da corrente, associada a este elemento, verifica-se que, quanto mais baixo o valor
da bobina, maior o valor da corrente que necessrio transferir.
Assim determinou-se, por anlise emprica, que o valor que optimiza as caractersticas
pretendidas tem o valor de 0,035 mH.
A capacidade C
2
dever ter um valor baixo permitindo que a varivel associada, V
c2
, possa
ser alterada rapidamente. A varivel V
c2
uma das variveis responsveis pelo controlo da
corrente da bobina L
2
. Assim determinou-se, por anlise emprica e com o auxlio das formas
de onda simuladas em PSIM

, que o valor que optimiza as caractersticas pretendidas tem o


valor de 1 F.
A bobina L
2
deve ter um valor to elevado que permita manter a corrente de sada
contnua com uma ondulao baixa, no devendo no entanto ser demasiado elevada de modo
a minimizar as perdas que aumentam com o valor da indutncia. Usou-se para a bobina L
2
o
valor de 100 mH.
Por fim, faz-se a resistncia R, tender para infinito, para T
p
(s) e T
i
(s) ficarem de acordo
com a Figura 4.1.
O conversor em anlise e a respectiva malha de controlo ser portanto igual ao
representado na Figura 6.1, substituindo os valores dos elementos pelos valores calculados.


6.4.1 Dimensionamento do controlador

A funo de transferncia linearizada do conversor est transcrita na equao que se
segue por convenincia.

( )
|
|

\
|

+
|
|

\
|

+
+


= =
2 1
2
2
2 1 2
2
2
2 2
1
2
2
2
) 1 (
) 1 ( ) 1 (
) (
~
) (
~
) (
C L
D
s s
C L L
D V V V D
C L
I
s
L
V
s
s d
s i
s T
o in C L o
L
i
.
(6.28)


103

Substituindo pelos valores definidos em cima obtemos:

( ) ( )
( )
9 2
5 5
2
10 96 . 2
10 57 . 0 10 34 . 1
2300
) (
~
) (
~
) (
+
+
= =
s s
s s

s d
s i
s T
L
i
.
(6.29)

Atravs da equao (6.29) pode-se concluir que T
i
(s) tem:

Um zero no semi-plano direito:

z1
= 0.57x10
5
rad/s

Um zero no semi-plano esquerdo:

z2
= 1.34x10
5
rad/s

Trs plos, um na origem e dois complexos no eixo imaginrio:

z1
= 0 rad/s,
z2
= +j5.44x10
4
rad/s e
z3
= -j5.44x10
4
rad/s

Analisando o traado de Bode representado na Figura 4.1 possvel visualizar que existem
dois valores de frequncia, nomeadamente 8.48x10
4
rad/s, 4.93x10
4
rad/s e 5.85x10
5
rad/s
para os quais |G(j)(H(j)| = 1. Assim, temos como trs valores candidatos para a margem
de fase.
Portanto, o atraso de transporte puro que o sistema pode suportar sem perder
estabilidade deve ser menor que o valor de T tal que T iguala a margem de fase candidata.
Consequentemente a margem de fase de 70.

s rad j T
C C i
/ 10 93 . 4 1 ) (
4
= =
(6.30)

( ) 110 =
C
j T
(6.31)

70 =
m

(6.32)

Da Figura 4.12, verifica-se que T
i
(s) no apresente plos no semi-plano direito e a
margem de fase positiva, no entanto como existe mais que uma frequncia de crossover, ou
seja, existem vrias passagens pela linha de 0dB este mtodo pode ser ambguo pelo que se
deve optar por outras abordagens para verificar a estabilidade do sistema.
Analisando a Figura 4.12, verifica-se a existncia de um plo na origem e um par de plos
complexos conjugados sobre o eixo imaginrio. Este um caso crtico em que a resposta em
regime permanente do sistema a uma entrada de amplitude limitada ser uma sinuside.

104
Atravs da Figura 6.12 e Figura 6.13 podemos verificar a validade dos valores obtidos nas
equaes (6.31), (6.32) e (6.33).


Figura 6.12: Lugar das Razes de T
i
(s).

Na Figura 6.12 podemos verificar que os valores dos plos e do zero coincidem com os
valores indicados anteriormente.


Figura 6.13: Diagrama de Bode de T
i
(s).

Atravs do diagrama de Bode de Ti(s), Figura 6.13, comprova-se que a frequncias de
travessia e a fase para essas frequncias coincidem com os valores determinados.


105

6.4.2 Implementao do Compensador

Para projectar o compensador, utilizou-se a ferramenta sisotool do MATLAB. O
resultado obtido atravs da manipulao do programa foi:

( ) 3598 s
) 10 2.94 + (s
10 7 3 . 1 ) (
6
3
+

=

s G
C
.
(6.33)

Na figura seguinte representa-se os diagramas de bode do controlador G
C
(s) e de T
i
(s).


Figura 6.14: Diagrama de Bode de T
i
(s) e G
c
(s).

Na figura seguinte est representada uma imagem da sisotool onde se pode observar o
lugar geomtrico de razes e o diagrama de bode de malha fechada do sistema T
i
(s) * G
C
(s).


106

Figura 6.15: Lugar geomtrico de razes, e diagrama de bode em malha fechada

Na figura anterior verifica-se que o sistema estvel, pois no possui plos em malha
aberta e malha fechada no semi-plano direito.

6.4.3 Implementao da malha de realimentao

Atendendo ao diagrama de blocos da Figura 6.3 e equao (6.3) pode-se deduzir a
expresso para o ganho da malha de realimentao:

), ( ) ( .
1
). ( ) ( s H s T
Vm
s G s T
i c
= .
(6.34)

Considerando o ganho do sensor unitrio e a tenso PWM varia entre zero e um, o ganho
de realimentao reduz-se a:

s) 10 1.066 + s 10 2.962 + 3598s + s
) 10 2.28 s 10 2.2624 - s 10 2.994 - 3.146s -
) ( ). (
13 2 7 3 4
17 12 2 7 3

+
= s T s G
i c

(6.35)

De seguida e aps se obter uma malha de realimentao estvel, analisa-se a resposta a
um degrau unitrio e a capacidade de rejeio ao rudo.

107




Figura 6.16: Resposta ao degrau unitrio do sistema em malha fechada.


Figura 6.17: Rejeio ao rudo do sistema em malha fechada.

As imagens mostram um sistema com uma boa dinmica, com baixo overshoot e oscilao.
O sistema apresenta uma oscilao assinalvel na rejeio a perturbaes.

6.5 Esquema do Controlador associado ao Conversor proposto

O esquema do controlador associado ao conversor desenvolvido no Capitulo 4 est
esquematizado na Figura 6.18, sendo formado por a funo de transferncia do controlador
desenvolvido na seco 6.4.2 que gera o sinal de referncia para o sinal PWM. A entrada do

108
controlador o erro entre a referncia de corrente (sinal sinusoidal rectificado) e a onda de
corrente da bobina L
2
.


Figura 6.18: Esquema de controlo do conversor proposto

Para garantir que se obtm um factor de potncia unitrio, o sinal de corrente sada do
conversor deve estar em fase com a tenso da rede. Para satisfazer este requisito o sinal de
referncia aplicado ao controlador gerado a partir de uma medida de tenso da rede. O
sinal vem em valores unitrios para posteriormente ser multiplicado por um ndice de
modulao M, obtido atravs do controlador MPPT, criando-se assim o sinal de referncia da
corrente pretendida.
Em paralelo com o controlador desenvolvido implementado um controlador para a
ponte H de modo a permitir a inverso do sentido da corrente injectada na rede, quando a
tenso da rede negativa. A ponte comutada frequncia da rede e nos perodos em que a
tenso e a corrente so nulas, permitindo uma comutao sem perdas. O esquema da Figura
6.19 apresenta o controlador a aplicar.
A tenso da rede medida e verificado se o seu valor positivo, activando os transstores
T
3
e T
4
, ou negativo, activando os transstores T
5
e T
6
.


109


Figura 6.19: Ponte H

6.6 Teste do sistema proposto

Aps se ter implementado um controlador capaz de colocar na sada do conversor o valor
de referncia, pode-se agora testar o conversor proposto.
Para isso implementou-se o circuito da Figura 6.20, onde foram ligados dois painis
fotovoltaicos com uma potencia de 340W, com o objectivo de analisar a resposta das
principais variveis, onde se colocou a funo de transferncia do controlador e o modelo da
do conversor proposto da Figura 4.1.


110

Figura 6.20: Modelo do conversor desenvolvido implementado em PSIM



111


O esquema modelado em PSIM

do conversor proposto foi testado com o objectivo de


verificar se as suas caractersticas esto de acordo com as especificaes pretendidas.
No primeiro teste a radiao solar aplicada aos painis fotovoltaicos manteve-se
constante nos 1000 W/m
2
e com a uma temperatura de funcionamento tambm constante de
25C. O ndice de modulao escolhido para este teste foi 1.9.
Para analisar o controlador MPPT e a dinmica do conversor modelado foi efectuado novo
teste, mantendo as condies de funcionamento dos painis fotovoltaicos constantes, com os
valores de 1000W/m
2
e 25C, e o ndice de modulao controlado pelo algoritmo MPPT.
Os ltimos testes tiveram como objectivo analisar a dinmica do controlador MPPT e do
conversor modelado quando sujeitos a mudana de radiao solar e temperatura.
No primeiro caso, a temperatura dos painis foi mantida constante nos 25C e a radiao
solar inicial de 1000 W/m
2
, sofre aos 0,5 segundos, de uma perturbao de meia sinuside de
amplitude 100 W/m
2
, at voltar aos 1000 W/m
2
em 1,5 segundos.
No segundo caso, a radiao mantida constante nos 1000 W/m
2
e a temperatura inicial
de 25C, sofre aos 0,5 segundos, de uma perturbao sinusoidal de amplitude 10C.

6.6.1 Valor da referncia de Corrente Constante

Como referido anteriormente, para o teste com o valor da referncia constante,
manteve-se as condies dos painis fotovoltaicos constantes, tendo sido analisadas as
principais ondas associadas ao conversor modelado.


Figura 6.21: Corrente na bobina L
1


Como possvel observar pela Figura 6.21, uma das caractersticas do conversor
desenvolvido, o facto de a bobina L
1
ser carregada por uma corrente mais de 5 vezes

112
superior corrente mdia fornecida pelos painis fotovoltaicos, atingindo valores de pico
superiores a 50V.


Figura 6.22: Tenso no condensador V
C1


A Figura 6.22 apresenta a tenso do condensador C
1
. Como era pretendido apresenta uma
ondulao baixa, de forma a manter a potncia do painel estabilizada, quando fosse atingido
o ponto de potncia mximo.
Na Erro! A origem da referncia no foi encontrada.Figura 6.23 est representada a
corrente de sada do conversor, na bobina L
2
, antes da ponte H.


Figura 6.23: Corrente na bobina L
2


Como se pode observar o conversor cria uma onda de corrente sinusoidal rectificada. Com
o auxlio da ponte H, quando a tenso da rede negativa as arcadas so invertidas, gerando-
se assim o sinal de corrente, i
L
, ilustrado na Figura 6.24 que injectada na rede.


113


Figura 6.24: (a) Corrente sada do conversor, i
L
; (b) Tenso sada do conversor;

Como era pretendido, obteve-se, sada do conversor desenvolvido, uma onda de
corrente sinusoidal, demonstrado a capacidade do conversor em transferir a potncia gerada
pelo painel fotovoltaico para a rede. A onda de corrente tem uma frequncia de 50 Hz e uma
corrente de pico de 1.90 A.
Da Figura 6.24 ainda possvel verificar que o sinal de tenso e o sinal de corrente esto
em fase, apresentando por isso factor de potncia unitrio.
Na Figura 6.25 pode-se ver que existe um erro mdio, entre a onda de referncia e a onda
obtida de 0.2 A.


Figura 6.25: Erro Absoluto entre a corrente de referncia e a corrente obtida e a sua mdia

Conclui-se que o conversor no capaz de seguir instantaneamente a referncia,
apresentando um pico de erro mximo de 0.6 A.


114

Figura 6.26: Tenso no condensador C
2


Na Figura 6.26 est representada a tenso do condensador C
2
, elemento responsvel pelo
controlo da corrente na bobina L
2
. Verifica-se que, tal como pretendido, a tenso aos
terminais deste elemento segue a tenso instantnea da rede.
A ondulao associada demonstra que a tenso pode ser rapidamente alterada pelo
controlo, caracterstica tambm pretendida para este elemento.
Uma das caractersticas pretendidas para o conversor proposto era que este cumprisse as
principais normas que regulamentam a ligao de sistemas fotovoltaicos rede. Uma das
medidas do sinal ao qual so impostos limites o THD, Total Harmonic Distortion, uma
varivel que indica a quantidade de distoro harmnica presente no sinal.
Os harmnicos so componentes sinusoidais de uma tenso ou corrente alternada, com
uma frequncia igual a um mltiplo inteiro da frequncia do sistema. So componentes de
alta frequncia que, quando injectadas no sistema elctrico, afectam directamente o
fornecimento de energia, seja na qualidade da energia ou na operao da concessionria e do
prprio consumidor.
Existem vrios ndices usados para indicar o contedo harmnico de uma forma de onda.
Um dos ndices mais comuns o que mede o nvel de harmnicos atravs de THD taxa de
distoro harmnica [10]. A THD a medida do grau de distoro de uma onda em relao a
uma corrente pura. A THD tem valor nulo quando se trata de sinuside pura com frequncia
fundamental. A THD para tenso definida como:

% 100
1
2
2
1

=
=
h
h V
V
V
THD .
(6.36)

A THD para a corrente definida como:

% 100
1
2
2
1

=
=
h
h I
I
I
THD .
(6.37)


115


Figura 6.27: Mximo THD da Corrente

Como se pode observar na Figura 6.27, foi obtido para a onda de corrente um THD
mximo de 6,37%, valor que ultrapassa os 5% legislados. Este valor elevado consequncia do
baixo nvel de corrente que se est a injectar na rede.



Figura 6.28: Harmnicos de corrente de sada do conversor

Na Figura 6.28 esto representados os principais harmnicos do sinal de corrente.
Observa-se na figura a componente fundamental a 50 Hz mas tambm outras componentes,
sendo as dominantes os harmnicos a 150 e 250 Hz. Verifica-se que a componente a 150 Hz
apresenta 0.089 A de amplitude ou seja, aproximadamente 5 % da componente fundamental.
A componente a 250 Hz apresenta 0.0272 A, aproximadamente 1.5% da componente
fundamental.
A amplitude da componente a 150 Hz, apresenta um valor superior ao legislado, que de
4%, sendo esta a caracterstica que justifica o THD mximo da corrente obtida.


116
6.6.2 Controlo MPPT mantendo as condies de funcionamento
dos painis constantes

O teste seguinte serviu para analisar a resposta do controlador MPPT implementado e
verificar a dinmica do conversor. O controlador MPPT foi colocado a uma frequncia baixa
para permitir a estabilizao do circuito sempre que sucede uma alterao do ndice de
modulao.


Figura 6.29: (a) Corrente sada do conversor, i
L
; (b) Potncia do painel; (c) ndice de
modulao, M.

Na Figura 6.29 (a) observa-se como a resposta da curva de potncia do painel fotovoltaico
se altera devido ao aumento do ndice de modulao aplicado referncia de corrente por
parte do controlador MPPT.
Sempre que o painel atinge o ponto de potncia mximo, o controlo MPPT decrementa o
valor do ndice de modulao tem 0.1, Figura 6.29 (c), impedindo assim que o conversor
entre num estado instvel, distorcendo a onda de corrente de sada. Voltando para uma zona
de funcionamento segura, o controlo MPPT volta a aumentar o ndice de modulao em busca
do ponto de potncia mximo.
Na Figura 6.29 (b) verifica-se que a onda de corrente da sada continua sinusoidal mas
agora o pico de corrente varivel. Esta caracterstica deve-se ao controlo MPPT
implementado que vai alterando o ndice de modulao aplicado referncia de corrente,
para ir ao encontro do mximo de potncia do painel fotovoltaico.

117

tambm possvel verificar que durante o perodo testado, no houve distoro da onda
de corrente, concluindo-se que o controlo MPPT est a impedir que a tenso dos painis
fotovoltaicos ultrapasse a tenso que fornece o ponto de potncia mximo, como pretendido.

6.6.3 Controlo MPPT com variao da Radiao Solar e
Temperatura constante

O teste seguinte serviu para analisar a resposta do controlador MPPT implementado e de
todo o sistema quando ocorre uma variao da radiao solar. O controlador MPPT foi
colocado a uma frequncia baixa para permitir a estabilizao do circuito sempre que sucede
uma alterao do ndice de modulao.
Os dois painis fotovoltaicos foram afectados pela mesma variao da radiao, sendo
representada na Figura 6.30. Esta variao visou simular um decrscimo temporrio da
radiao solar.


Figura 6.30: Radiao solar aplicada aos painis fotovoltaicos

Nestas condies obtiveram-se os seguintes resultados:


118

Figura 6.31: Variao da radiao solar com a temperatura constante: (a) Corrente sada
do conversor, i
L
; (b) Potncia do painel; (c) ndice de modulao, M.

Pode-se verificar atravs da Figura 6.31 (c) que o ndice de modulao aplicado
referncia de corrente, segue a curva da variao da radiao solar da Figura 6.31.
Analisando numa primeira fase, a diminuio da radiao, verifica-se uma descida da
potncia dos painis, Figura 6.31 (a), e uma consequentemente diminuio do ndice de
modulao.
Desta forma o controlo garante que no pedido, pelo conversor, mais potncia do que a
disponvel nos painis fotovoltaicos.
Numa segunda fase, a radiao aumenta e com ela a potncia disponvel nos painis
fotovoltaicos. O controlo detecta esse fenmeno e aumenta o ndice de modulao em busca
do ponto de potncia mximo dos painis fotovoltaicos.
Na Figura 6.31 (b) est representada a corrente de sada do conversor verificando-se que
segue a curva do ndice de modulao que aplicada referncia de corrente. No foi
detectado, no perodo de teste, nenhuma distoro de onda, concluindo-se que o controlo
MPPT est a manter a tenso do painel abaixo da que fornece a potncia mxima, tal como
pretendido, sendo essa caracterstica uma aco das modificaes do ndice de modulao e
no nas alteraes da radiao solar.
Conclui-se assim que o controlo MPPT apresenta uma dinmica que lhe permite seguir as
variaes registadas na radiao solar, mantendo o conversor na zona de funcionamento
estvel.


119

6.6.4 Controlo MPPT com variao da Temperatura e Radiao
Solar constante

Finalmente, o teste seguinte serviu para analisar a resposta do controlador MPPT
implementado e de todo o sistema quando ocorre uma variao de temperatura.
Os dois painis fotovoltaicos foram afectados pela mesma variao, sendo representada
na Figura 6.32.


Figura 6.32: Temperatura aplicada aos painis fotovoltaicos

Nestas condies obtiveram-se os seguintes resultados:


Figura 6.33: Variao da temperatura com a radiao solar constante: (a) Corrente sada
do conversor, i
L
; (b) Potncia do painel; (c) ndice de modulao, M.


120
Pode-se verificar atravs da Figura 6.33 (c) que o ndice de modulao aplicado
referncia de corrente, segue a curva da variao da temperatura. Analisando a Figura 6.32
numa primeira fase, a diminuio da temperatura, verifica-se um aumento da potncia dos
painis, Figura 6.33 (a), e um consequentemente aumento do ndice de modulao em busca
do ponto mximo.
Numa segunda fase, a temperatura aumenta e com ela diminui a potncia disponvel nos
painis fotovoltaicos, pois h uma maior agitao e recombinao dos portadores, diminuindo
a tenso da clula. O controlo detecta esse fenmeno e diminui o ndice de modulao.
Na Figura 6.33 (b) est representada a corrente de sada do conversor verificando-se que
segue a curva do ndice de modulao que aplicada referncia de corrente. No foi
detectado, no perodo de teste, nenhuma distoro de onda, concluindo-se que o controlo
MPPT est a manter a tenso do painel abaixo da que fornece a potncia mxima, tal como
pretendido, sendo essa caracterstica uma aco das modificaes do ndice de modulao e
no nas alteraes da radiao solar.
Conclui-se assim que o controlo MPPT apresenta uma dinmica que lhe permite seguir as
variaes registadas na temperatura, mantendo o conversor na zona de funcionamento
estvel.

6.7 Concluses

Neste captulo foram apresentados os requisitos necessrios para o projecto de
conversores com realimentao das grandezas de sada. Foram estudados quais os parmetros
que mais influenciam a malha de realimentao e os seus efeitos na resposta do sistema.
Foram ainda apresentadas diversas alternativas, para a topologia de compensador a
utilizar, sendo elas o compensador por avano de fase, o compensador por atraso de fase e o
compensador por avano e por atraso de fase.
Estas topologias foram comparadas sob o ponto de vista dos seus efeitos na resposta final
do sistema. Abordou-se igualmente quais os principais pressuposto para o dimensionamento
de um destes conversores. A teoria exposta foi utilizada para se calcular um compensador
para o controlo da corrente de sada do conversor proposto.
O conversor com o respectivo controlo apresentado satisfaz as caractersticas necessrias
para transferir a energia de painis fotovoltaicos para uma rede elctrica com o mximo de
qualidade possvel.
O sistema final apresenta, contudo, um valor um pouco elevado do THD mximo de
corrente, por aco da baixa corrente gerada, que pode ser tolerado em consequncia da boa
resposta dinmica.
Assim, conclui-se que o compensador projectado neste captulo adequado para os
objectivos do trabalho em anlise.

121




122

Captulo 7
Concluses e Desenvolvimento Futuro
Neste captulo ser analisado o trabalho desenvolvido relativamente aos objectivos
cumpridos. Cada um dos objectivos propostos ser analisado e verificado se o mesmo foi
atingido de forma satisfatria ou no. Caso no tenha sido satisfatrio o seu cumprimento
feita uma reflexo em que se indicam as causas e o que deveria ser alterado. No final do
captulo so apresentados possveis desenvolvimentos futuros e discutidas possibilidades de
melhoria do trabalho desenvolvido.

7.1 Concluses

Como referido anteriormente no captulo 6, este captulo ainda vai ser concludo em
virtude dos resultados obtidos no serem satisfatrios, e n ser possvel tirar todas as
concluses.
O projecto de dissertao apresentado tinha como objectivo final a continuao do
desenvolvimento de um conversor para aplicar a um sistema de converso de energia
fotovoltaico e a sua simulao. Para que o seu desenvolvimento fosse efectuado de forma
rpida e com resultados muito aproximados da realidade, foram utilizadas ferramentas de
simulao especficas para circuitos de potncia (PSIM

).
A primeira etapa do trabalho realizado consistiu no estudo do conversor j desenvolvido
[27] e consequente desenvolvimento. Os modelos de simulao de painis fotovoltaicos
utilizados nesta tese resultaram desse estudo. A existncia deste bloco permitiu, durante o
desenvolvimento do conversor, realizar simulaes de circuitos fotovoltaicos tendo acesso s
caractersticas particulares de um painel fotovoltaico, tornando os resultados obtidos mais
prximos da realidade.

123

Para validar o funcionamento dos modelos desenvolvidos foram apresentados os testes
experimentais com o painel fotovoltaico da marca BP Solar, modelo 7170S, tendo sido
comparados os resultados obtidos com os da simulao. Concluiu-se que o modelo de
simulao implementado apresentava resultados muito prximos dos obtidos
experimentalmente, tendo-se verificado um erro mximo de 8% sobre I
SC
.
Numa segunda etapa do trabalho de dissertao apresentado, estudou-se
aprofundadamente o conversor da Figura 4.1 j desenvolvido [27] para aplicar a um sistema
de converso de energia fotovoltaico single-stage. Este estudo, visou verificar que o
conversor estava de acordo com as regulamentaes em vigor e que garantia uma converso
eficiente, capaz de amplificar e gerar uma onda de corrente sinusoidal, possuir factor de
potncia unitrio sada e controlo MPPT.
Das concluses retiradas e com o auxlio do software de simulao PSIM

foram
optimizados os valores de cada um dos elementos, recorrendo a mtodos empricos.
Para que o modelo de conversor desenvolvido fosse validado em todas as vertentes
associadas a um sistema fotovoltaico, foi simulado com um mtodo MPPT. Foi seguido o
algoritmo Hill Climbing com uma modificao para satisfazer as necessidades do conversor.
Este algoritmo foi implementado em PSIM

, com um circuito analgico.


O mtodo de controlo do conversor adoptado neste sistema foi o mtodo da
realimentao, onde os valores da sada so observados e o ciclo activo compensado, para se
obter na sada os valores da referncia constantes. um mtodo de controlo simples e
conduz a resultados relativamente satisfatrios. O controlador apresenta uma boa resposta
transitria, apresentando contudo um valor assinalvel de oscilao da rejeio a
perturbaes.
Para a validao do conversor desenvolvido e do mtodo MPPT implementado foram
realizados diversos testes, permitindo verificar as principais caractersticas associadas ao
sistema.
O primeiro teste tinha como objectivo verificar o funcionamento do conversor. Verificou-
se que os resultados de simulao permitiram realizar a transferncia de potncia do painel
fotovoltaico para a rede elctrica a partir da injeco de uma onda de corrente sinusoidal
controlada pelo conversor desenvolvido. Durante os testes foi verificado que a onda de
corrente apresentava uma componente harmnica baixa embora o THD registado seja de
6,37% (por aco da baixa corrente gerada), ligeiramente superior ao mximo permitido pelas
normas aplicadas.
Em seguida foram efectuados testes ao controlo MPPT, com e sem variao de radiao
solar. Concluiu-se que o controlo MPPT implementado permite o controlo da potncia pedida
ao painel mantendo-a muito prxima do mximo permitido. Verificou-se tambm que o ponto
de potncia mximo do painel nunca foi ultrapassado, caracterstica que levaria distoro
da onda de corrente de sada.

124
A simulao de todos os sistemas analisados permite concluir sobre a validade do projecto
implementado. Todos os subsistemas funcionam correctamente em conjunto e os mtodos de
controlo so satisfatrios. Com isto se conclui sobre a validade do projecto verificando-se que
o conversor desenvolvido capaz dos objectivos pretendidos e que uma alternativa possvel
para ser usada em sistemas de converso de energia fotovoltaicos single-stage, mais
propriamente para sistemas de converso fotovoltaicos domsticos.

7.2 Desenvolvimentos Futuros

O desenvolvimento do conversor apresentado no dever terminar com a entrega desta
tese, mas dever continuar usando as informaes recolhidas como ponto de partida para a
continuao do seu desenvolvimento.
Como complemento ao trabalho desenvolvido pode-se efectuar o dimensionamento dos
componentes do conversor e realizar a implementao prtica do sistema. Esta
implementao servir para comprovar a aplicabilidade do sistema proposto.
A implementao de sistemas de proteco para o conversor, ser tambm um bom
incremento ao trabalho.
Para concluir pode-se tambm realizar o projecto e teste de um controlador digital.
Estes desenvolvimentos permitiro aumentar a qualidade do sistema proposto bem como
estudar com maior detalhe as principais caractersticas determinantes para o seu controlo.





Referncias
[1] Manual de Engenharia para Sistemas Fotovoltaicos, Grupo de Trabalho de Energia
Solar-GTES, CEPEL-CRESESB.
[2] N. FRAIDENRAICH, F. LYRA, Energia Solar: Fundamentos e Tecnologia de Converso
Heliotrmica e Fotovoltaica, Editora Universitria da UFPE, 1995.
[3] H. P. THOMAS, B. KROPOSKI, P. MCNUTT, C. E. WITT, W. BOWER, R. BONN, T.D.
HUND, Progress in Photovoltaic System and Component Improvements. National
Renewable Energy Laboratory/U.S. Department of Energy, July 1998.
[4] http://www.eia.doe.gov/oiaf/ieo/electricity.html, "International Energy Outlook
2003," 2008.
[5] M. Liserre, F. Blaabjerg, R. Teodorescu, and Z. C. Aalborg, "Power Converters and
Control of Renewable Energy Systems," in The 6-th International Conference on
Power Electronics, 2004.
[6] Dalton F. Santos A Crise Energtica Enforca o Imperialismo Geopoltica do
Petrleo, Perspectiva Global na Produo e Depleo das Reservas de Petrleo do
Mundo;
[7] Oil Shockwave - Oil Crisis Executive Simulation. National Commission on Energy Policy
and Securing America's Future Energy. 2005
[8] B. S. Prasad, S. Jain, and V. Agarwal, "Universal Single-Stage Grid-Connected
Inverter," Energy Conversion, IEEE Transaction on, vol. 23, pp. 128-137, 2008
[9] H. Haeberlin, Evolution of Inverters for Grid connected PV systems from 1989 to
2000, Proc. of Photovoltaic Solar Energy Conference, 2001.
[10] N. Mohan, T. M. Undeland, and W. P. Robbins, Power Electronics - Converters,
Applications and Design, Third Edition ed.: John Wiley & Sons, Inc., 2003.
[11] S. B. Kjaer, J. K. Pedersen, and F. Blaabjerg, "A review of single-phase grid-
connected inverters for photovoltaic modules," Industry Applications, IEEE
Transactions on, vol. 41, pp. 1292-1306, 2005.

126
[12] L. Wuidart, "Topologies for switched mode power supplies," ST Microelectronics,
1999.
[13] S. Jain and V. Agarwal, "Comparison of the performance of maximum power point
tracking schemes applied to single-stage grid-connected photovoltaic systems,"
Electric Power Applications, IET, vol. 1, pp. 753-762, 2007.
[14] F. Blaabjerg, C. Zhe, and S. B. Kjaer, "Power electronics as efficient interface in
dispersed power generation systems," Power Electronics, IEEE Transactions on, vol.
19, pp. 1184-1194, 2004.
[15] X. Weidong, W. G. Dunford, P. R. Palmer, and A. Capel, "Regulation of Photovoltaic
Voltage," Industrial Electronics, IEEE Transactions on, vol. 54, pp. 1365-1374, 2007.
[16] N. Kasa, T. Iida, H. Iwamoto: Maximum power point tracking with capacitor
identifier for photovoltaic power system, IEE Proc., Electr. Power Appl., 2000, 147,
(6), pp. 497502
[17] B. K. Bose, P. M. Szczesny, and R. L. Steigerwald, "Microcomputer Control of a
Residential Photovoltaic Power Conditioning System," Industry Applications, IEEE
Transactions on, vol. IA-21, pp. 1182-1191, 1985.
[18] X. Weidong and W. G. Dunford, "A modified adaptive hill climbing MPPT method for
photovoltaic power systems," in Power Electronics Specialists Conference, 2004. PESC
04. 2004 IEEE 35th Annual, 2004, pp. 1957-1963 Vol.3.
[19] K. H. Hussein, I. Muta, T. Hoshino, and M. Osakada, "Maximum photovoltaic power
tracking: an algorithm for rapidly changing atmospheric conditions," Generation,
Transmission and Distribution, IEE Proceedings-, vol. 142, pp. 59-64, 1995.
[20] K. Kobayashi, H. Matsuo, and Y. Sekine, "An excellent operating point tracker of the
solar-cell power supply system," Industrial Electronics, IEEE Transactions on, vol. 53,
pp. 495-499, 2006.
[21] S. Jain and V. Agarwal, "A new algorithm for rapid tracking of approximate maximum
power point in photovoltaic systems," Power Electronics Letters, IEEE, vol. 2, pp. 16-
19, 2004.
[22] H. S. H. Chung, K. K. Tse, S. Y. Ron Hui, C. M. Mok, and M. T. Ho, "A novel maximum
power point tracking technique for solar panels using a SEPIC or Cuk converter," IEEE
Transactions on Power Electronics, vol. 18, pp. 717-724, 2003.
[23] D. Casadei, G. Grandi, and C. Rossi, "Single-phase single-stage photovoltaic
generation system based on a ripple correlation control maximum power point
tracking," Energy Conversion, IEEE Transaction on, vol. 21, pp. 562-568, 2006.
[24] T. Esram, J. W. Kimball, P. T. Krein, P. L. Chapman, and P. Midya, "Dynamic
Maximum Power Point Tracking of Photovoltaic Arrays Using Ripple Correlation
Control," Power Electronics, IEEE Transactions on, vol. 21, pp. 1282-1291, 2006.

127

[25] P. T. Krein, "Ripple correlation control, with some applications," in Circuits and
Systems, 1999. ISCAS '99. Proceedings of the 1999 IEEE International Symposium on,
1999, pp. 283-286 vol.5.
[26] Z.M. Salameh, D. Fouad and A. William: Step-down maximum power point tracker for
photovoltaic systems, Solar Energy, 1991,46, (5), pp. 279282
[27] A. N. M. Silva, Sistema de Converso de Energia Solar Fotovoltaica para Interligao
Rede Domstica de Energia Elctrica," in Departamento de Engenharia
Electrotcnica e de Computadores. Porto: Faculdade de Engenharia da Universidade
do Porto, 2008.
[28] J. G. Kassakian, M. F. Schlecht, and G. C. Verghese, "Principles of Power Electronics,"
Cap. 11, Dynamics and Control.
[29] R. W. Erickson and d. Maksimovic, "Fundamentals of Power Electronics," Cap. II,
Converter Dynamics and Control, 2001.
[30] D. S. Oliveira and L. C. Tomaselli, "Estudo de um conversor CC-CC buck-
boost,"Instituto de Electrnica de Potncia e Universidade Federal de Santa Catarina.
[31] Ogata, K., Engenharia de controle moderno. 3a Edio, Prentice-Hall do Brasil, Rio de
Janeiro, 1995.
[32] M. R. Patel, Wind and Solar Power Systems - Design, Analysis, and Operation, Second
Edition ed. CRC Press: Taylor & Francis Group, 2006.
[33] R. H. Rosemback, "Conversor cc-cc bidirecional buck-boost atuando como controlador
de carga de baterias em um sistema fotovoltaico," in Setor de Tecnologia. vol. Master
Juiz de Fora: Universidade Federal de Juiz de Fora, 2004, p. 125.
[34] F. Nakanishi, T. Ikegami, K. Ebihara, S. Kuriyama, and Y. Shiota, "Modeling and
operation of a 10 kW photovoltaic power generator using equivalent electric circuit
method," in Photovoltaic Specialists Conference, 2000. Conference Record of the
Twenty-Eighth IEEE, 2000, pp. 1703-1706.
[35] R. Chenni, M. Makhlouf, T. Kerbache, and A. Bouzid, "A detailed modeling method for
photovoltaic cells," Energy, vol. 32, pp. 1724-1730, 2007.
[36] E. Roman, P. Ibanez, S. Elorduizapatarietxe, R. Alonso, D. Goitia, and I. M. de
Alegria, Intelligent PV module for grid-connected PV systems, in Proc. IEEE IECON,
2004, pp. 30823087.
[37] A. Massi Pavan, S. Castellan, S. Quaia, S. Roitti, G. Sulligoi, Power Electronic
Conditioning Systems for Industrial Photovoltaic Systems: Centralized or String
Inverters?, in Proc. of ICCEP - Int. Conf. on Clean Electrical Power Capri (Italy), 21-
23 May 2007, pp. 208-214.
[38] G. F. Rodrigues, J. Imhoff, H. TOMAS, H. L. HEY, ANLISE COMPARATIVA ENTRE OS
CUSTOS DE EXTENSO, in XIX Congresso Regional de Iniciao Cientfica e

128
Tecnolgica em Engenharia, 2004, Curitiba - PR. XIX Congresso Regional de Iniciao
Cientfica e Tecnolgica em Engenharia, 2004.
[39] M. Calais, J. Myrzik, T. Spooner, V.G. Agelidis, Inverters for single-phase grid
connected photovoltaic systems-an overview, Proc. of PESC 02, 2002, Vol. 4, pp.
1995 2000.
[40] M. Meinhardt and G. Cramer, "Multi-String Converter: The next step in evolution of
String-Converter Technology," in 9
th
European Conference on Power Electronics and
Applications, 2001.
[41] K. C. Oliveira, M. C. Cavalcanti, G. M. S. Azevedo, and F. A. S. Neves, "Comparative
Study of Maximum Power Point Tracking Techniques for Photovoltaic Systems," in VII
INDUSCON, 2006.
[42] J.H.R. Enslin, M.S. Wolf, D.B. Snyman, and W. Swiegers. Integrated photovoltaic
maximum power point tracking converter. IEEE Trans. Ind. Electronics, 44, 769-773,
Dec. 1997.
[43] D. B. Snyman and J. H. R. Enslin, Simplified maximum power point controller for PV
installations, Proc. 2nd IEEE Photovoltaics Specialists Conf. (PVSC-'93) Louisville, KY,
pp. 1240-1245, 1993.
[44] D.P. Hohm and M.E. Ropp, Comparative Study of Maximum Power Point Tracking
Algorithms Using an Experimental, Programmable, Maximum Power Point Tracking
Test Bed, Proc. Photovoltaic Specialist Conference, 2000, pp. 1699- 1702.
[45] Y.-T. Hsiao and C.-H. Chen, Maximum Power Tracking for Photovoltaic Power
System, in Industry Applications Conference, vol. 2, 2002, pp.1035 1040.
[46] K. C. A. Souza, F. R. Coelho, F. Valore, A single-phase grid-connected PV system
with active power filter, In: 9 Congresso Brasileiro de Electrnica de Potncia,
2007, Blumenau. 9TH BRAZILIAN POWER ELECTRONICS CONFERENCE, 2007. p. 480-
484.

Você também pode gostar