Você está na página 1de 107

PEMEX EXPLORACIN Y PRODUCCIN

REGION MARINA NORESTE A.C. CANTARELL SECTOR NORTE MANTENIMIENTO A EQUIPOS DINAMICOS E INSTRUMENTOS

CURSO TURBOTRONIC

Pagina 2

INDICE
INTRODUCCION....................................................................................................................................5 INTRODUCCION A LOS CONTROLADORES LOGICOS PROGRAMBLES...................................6 ANTECEDENTES DE LOS PLC` S..................................................................................................6 FIGURA 1.2..........................................................................................................................................8 CLASIFICACION DEL CONTROL EN LA INDUSTRIA............................................................8 CARACTERISTICAS GENERALES DE UN SITEMA BASADO EN PLC. .....................................12 PARTES FUNDAMENTALES DEL SISTEMA DE CONTROL (PLC).............................................13 FUENTE DE ALIMENTACIN.....................................................................................................14 MDULOS DE ENTRADAS DISCRETAS DE CORRIENTE DIRECTA................................18 MDULOS DE ENTRADAS DISCRETAS DE CORRIENTE ALTERNA Y /O CORRIENTE ALTERNA..........................................................................................................................................18 MDULO DE SALIDAS DISCRETAS..........................................................................................18 TEORIA DE LOS CONTROLADORES PROGRAMABLES..............................................................25 DEFINICION.....................................................................................................................................2 FONDO !ISTORICO.......................................................................................................................26 PRINCIPIOS DE OPERACION......................................................................................................26 DIAGRAMAS DE ESCALERA Y LOS PLC"S..............................................................................#1 SISTEMAS DE NUMERACION Y CODIGOS....................................................................................35 INTRODUCCION.............................................................................................................................# SISTEMAS DE NUMERACION.....................................................................................................# CON$ERSION DE NUMEROS.......................................................................................................4# COMPLEMENTO A UNO...............................................................................................................4 COMPLEMENTO A DOS................................................................................................................46 CODIGOS BINARIOS......................................................................................................................46 FORMATO DE REGISTRO DE PALABRAS...............................................................................48 CONCEPTOS LOGICOS.......................................................................................................................50 EL CONCEPTO BINARIO.............................................................................................................. % FUNCIONES LOGICAS................................................................................................................... 1 SOFTWARE DE PROGRAMACION....................................................................................................54 INTRODUCCION............................................................................................................................. 4 REQUERIMIENTOS DE !ARD&ARE........................................................................................ 4 DESCRIPCIN DE SOFT&ARE AB'62%% $ER. .%1.%#............................................................ ( PROGRAMACIN DEL PLC............................................................................................................... 2 ARC!I$OS DEL PROCESADOR..................................................................................................82 ARC!I$O DE PROGRAMA 1........................................................................................................82 Pagina 3

ARC!I$O DE PROGRAMA 2........................................................................................................82 ARC!I$O DE PROGRAMA # AL (((..........................................................................................82 ARC!I$OS DE DATOS...................................................................................................................8# ARC!I$OS DE COMENTARIOS /S)MBOLOS..........................................................................8 DIRECCIONAMIENTO........................................................................................................................ 6 NEMNICO DEL NOMBRE DE ARC!I$O................................................................................86 N*MERO DE ARC!I$O.................................................................................................................86 GABINETE/GRUPO.........................................................................................................................86 ELEMENTO.......................................................................................................................................86 DIRECCIONAMIENTO INDIRECTO...........................................................................................8+ DIRECCIONAMIENTO INDE,ADO............................................................................................8+ CONSTANTES DEL PROGRAMA................................................................................................88 CON!UNTO DE INSTRUCCIONES.................................................................................................... PROCESO DE RECORRIDO..........................................................................................................(% INSTRUCCIONES DE REELE$ADOR LGICO.......................................................................(% INSTRUCCIONES DE TEMPORI-ADORES/CONTADORES.................................................(2 INSTRUCCIONES ARITMETICA. LGICA Y MO$ER..........................................................(6 INSTRUCCIN DE COMPARACIN..........................................................................................(( INSTRUCCIONES DE TRANSFERENCIA DE BLOQUES.....................................................1%2 DETECCIN DE FALLAS.................................................................................................................105 APRO,IMACIN GENERAL......................................................................................................1% CONDICIONES INICIALES.........................................................................................................1% MONITOREO DEL PROGRAMA......................................................................................................106 REEMPLA"O DE MDULOS............................................................................................................106

Pagina 4

INTRODUCCION

Este manual de estudio fue elaborado recopilando informacin de los diferentes manuales tanto de Allen Bradley como de Solar Turbines Inc. as como algunos libros de consulta, toda la informacin aqu recopilada solamente deber de ser utili ado para fines de entrenamiento, si requiere reali ar alguna modificacin al equipo en funcionamiento deber referirse a los manuales originales identificados por el numero de proyecto especifico.

!e es muy grato pues presentarles esta informacin esperando que sea de muc"a utilidad en el aprendi a#e de las t$cnicas de programacin de los %&'(S Allen Bradley modelo )*+,.

Pagina 5

INTRODUCCION A LOS CONTROLADORES LOGICOS PROGRAMBLES ANTECEDENTES DE LOS PLC` S. El c n!" l in#$%!"ial %& 'a #&%a"" lla# &n "(&(&n!& &n l % )l!i( % 4* a+ %. La in%#$!"ia #& c$al,$i&" !i- . c ( la #& ali(&n! %. -&!" l&"a. (&!al)"gica. a$! ( !"i/ 'a n&c&%i!a# %i&(-"& alg)n !i- #& c n!" l &n &l -" c&% #& (an$0ac!$"a. 1ac& %2l 25 a+ % &l c n!" l &"a &3&c$!a# c n #i%- %i!i4 &l&c!" (&c5nic % 6 alg$na% !a"3&!a% &l&c!"2nica% &n alg$n % ca% %. En &%!& !i- #& c n!" l %& c$-a7a #&(a%ia# &%-&ci &n la in%!alaci2n #& l % &,$i- %. &l c n%$( #& &n&"g8a &"a ($6 &l&4a# . la% ( #i0icaci n&% &"an ca% i(- %i7l&% a#&(5% #& c %! %a%. &l (an!&ni(i&n! % l8 %&" ($6 !a"#a# . 6 &l !i&(- #& l cali/aci2n 6 c ""&cci2n #& 0alla% ($6 g"an#&. Un #iag"a(a #& c n!" l !8-ic 7a%a# &n #i%- %i!i4 % &l&c!" (&c5nic % %& ($&%!"a &n la 0ig$"a 9.9. En &%!& !i- #& c n!" l l % &l&(&n! % %ali#a% &%!5n 0 "(a# % - " "&l&4a# "&% #& !i&(- :;T<. En c$an! a l % &l&(&n! % #& &n!"a#a% %& !i&n&n #& #i0&"&n!&% !i- % c ( % n. in!&""$-! "&% #& li(i!& :LS<.7 ! n&% :=<. in!&""$-! "&% #& - %&%i2n :P<in!&""$-! "&% #& !&(-&"a!$"a :T< . &%! % #i0&"&n!&% !i- % #& in!&""$-! "&% % n in!&"c n&c!a# % &n!"& %i -a"a c n!" la" alg)n &4&n! &n &%-&ci0ic $!ili/an# l % c n!ac! % #& l % "&4&la# "&%. $!ili/an# $na l2gica #&!&"(ina#a - " &l #i%&+a# " #&l %i%!&(a. Pa"a l g"a" !i&(- % #& "&!a"# %& &(-l&an "&l&4a# "&% #& !i&(- n&$(5!ic % &l&c!"2nic % :;T<. Pa"a c n&c!a" #i%- %i!i4 % #& %&+ali/aci2n 6 c n!" l c ( l5(-a"a% -il ! % l&n i#&% :S<. &!c. S& &(-l&an l % c n!ac! % #& l % "&4&la# "&% :;<. :L<L. a""anca# "&% >

?IGURA 9.9

Pagina @

A (&#i#a ,$& la Ing&ni&"8a &n El&c!"2nica %& 'a #&%a"" lla# (5%. &l control electrnico 'a "&&(-la/a# al control electromecnico, 'a%!a ll&ga" al -$n! # n#& ' 6 &n #8a la% c (-$!a# "a% in%#$!"ial&% l 'an %$%!i!$i# - " c (-l&! . 0"&ci&n# #& &%!a (an&"a al a(-li ca(- #&l AC n!" lB $na g"an 4&"%a!ili#a# 6 %i(-lici#a# #& %&" &3&c$!a# - " $n n)(&" (a6 " #& -&"% na% in4 l$c"a#a% c n l % -" c&% %. % n i(- "!a" c$al %&a %$ &%-&ciali#a#. L % c n!" la# "&% l2gic % -" g"a(a7l&% :PLC< % n 75%ica(&n!& c (-$!a# "a% in#$%!"ial&% c (-l&(&n!a#a% c n !a"3&!a% &l&c!"2nica% &%-&cial&% ,$& %i"4&n c ( in!&"0a%& #& a#,$i%ici2n #& #a! % &n!"& &l PLC 6 l % in%!"$(&n! % #& ca(- ,$& c n!" lan &l -" c&% (i%( . -a"a a#,$i"i" #a! % %& $!ili/an la% !a"3&!a% &l&c!"2nica% #& &n!"a#a. $na 4&/ "&ci7i# % l % #a! % #& &n!"a#a. % n -" c&%a# % &n la (&( "ia #& la c (-$!a# "a c n ci#a c ( CPU. Una 4&/ -" c&%a#a la in0 "(aci2n %& 7!i&n& $n "&%$(&n #& #a! % 0inal&% #& %ali#a &n la (&( "ia #&l CPU. l % c$al&% % n &n4ia# % al -" c&% a !"a4C% #& la% !a"3&!a% &l&c!"2nica% #& %ali#a%. &%!a% !a"3&!a% % n in!&"c n&c!a#a% a l % &l&(&n! % &n ca(- -a"a - #&" %&" ac!i4a# % c$an# &l -" g"a(a #& c n!" l a%8 l in#i,$&. La 0ig$"a 9.2 ($&%!"a &l (i%( c n!" l il$%!"a# &n la 0ig$"a 9.9.. % l ,$& a' "a %& &(-l&a $n %i%!&(a a 7a%& #& $n PLC. En %8 % n # % %i%!&(a% &,$i4al&n!&% ,$& "&ali/an la% (i%(a 0$nci n&% &n c$an! a &l -" c&% %& "&0i&"&. C ( %& -$&#& 7%&"4a &n la 0ig$"a 9.2 &%!& %i%!&(a &%!a 0 "(a# a g"an#&% "a%g % - " !"&% &l&(&n! %. MODULO DE ENTRADAS. E%!& ( #$l !a"3&!a &l&c!"2nica %& &nca"ga #& "&ci7i" ! #a% a,$&lla% %&+al&% -" 4&ni&n!&% #& ca(- ,$& in#i,$&n &l ca(7i #& alg)n &4&n! n 00 :&nc&n#i# a-aga# <. L % #i%- %i!i4 % ,$& %& c n&c!an a &%! % (2#$l % % nD $n in!&""$-! " #& l8(i!&. $n in!&""$-! " #& -"&%i2n. P " (&nci na" alg$n %. PROCESADOR O UNIDAD CENTRAL DE PROCESAMIENTO D E%!a $ni#a# %& &nca"ga #& l&&" la in0 "(aci2n 7!&ni#a - " &l ( #$l #& &n!"a#a%. $na 4&/ 7!&ni#a la in0 "(aci2n &% -" c&%a#a #& ac$&"# a $n -" g"a(a l2gic #& c n!" l &la7 "a# &n la (&( "ia #&l -" c&%a# ". &%!& -" g"a(a l2gic 4&n#"8a &,$i4ali&n# a la% c n&Ei n&% 08%ica% :ca7l&%< ,$& %& "&ali/an &n $n %i%!&(a c n4&nci nal -a"a l g"a" $n 73&!i4 #&%&a# . c ( &l ( %!"a# &n la 0ig$"a 9.9. MODULO DE SALIDAS. E%!& ( #$l !a"3&!a &l&c!"2nica in!&"-"&!a" l % "&%$l!a# % 7!&ni# % - " &l -" c&%a# " #&%-$C% #& 'a7&" &3&c$!a# &l -" g"a(a l2gic . &% #&ci" %i &l -" c&%a# " a"" 32 c ( "&%$l!a# &n&"gi/a" $na % l&n i#&. &l -" c&%a# " &n48a la %&+al al (2#$l #& %ali#a% 6 &%!& )l!i( %& c n&c!a #i"&c!a(&n!& a &l &l&(&n! &n ca(- -a" ,$& %&a ali(&n!a# 6 "&alic& la 0$nci2n #&n!" #&l -" c&% #& la -lan!a. FUENTE E,TERNA. E%!a 0$&n!& %& $!ili/a -a"a &n&"gi/a" ! # % l % &l&(&n! % in%!"$(&n! % ,$& &Ei%!& &n ca(- c ( % n. l5(-a"a%. % l&n i#&% &!c. Ta(7iCn %& $!ili/a -a"a ali(&n!a" l % in!&""$-! "&%. -&" n c ( &l&(&n! % #& ca"ga. %in -a"a - #&" c&n%a" #i%c"i(ina" $na %&+al #& alg)n &4&n! nF 00 :&nc&n#i# Ga-aga# < #&l (i%( in!&""$-! ". L % -"i(&" % PLC`% 0$&" % c"&a# % -a"a %$%!i!$i" %i%!&(a% #& c n!" l ,$& $!ili/an $na l2gica #& c n!" l a 7a%& #& "&4&la# "&%. L % -"i(&" % PLC`% ,$& a-a"&ci&" n &n &l (&"ca# 74ia(&n!&. 0$&" n ($6 %&ncill %. c$7"i&n# )nica(&n!&.

Pagina H

Si%!&(a% #& c n!" l #&l !i- l2gic . %2l %&n%a7an #a! % #& &n!"a#a #&l !in G 00 :&nc&n#i# Ga-aga# < c ( &% &l ca% #& $n in!&""$-! ".I c ( #a! % #& %ali#a &%!& %i%!&(a % l &n48a $na %&+al #& &n&"gi/a# #&%&n&"gi/a# c ( &% &l ca% #& &n&"gi/a" $na % l&n i#& $na l5(-a"a. La &%!"$c!$"a #& $n %i%!&(a #& c n!" l $%an# PLC`% %& il$%!"a -&"0&c!a(&n!& &n la 0ig$"a 9.2. En 0 "(a "&%$(i#a l % (2#$l !an! #& &n!"a#a% c ( l % #& %ali#a% %& &(-l&an c ( in!&"0ac&% :!"a#$c! "&% #& %&+al&% #a! %< &n!"& l % #i%- %i!i4 % 08%ic % #&l -" c&% :ca(- < 6 la (&( "ia in!&"na #& PLC :P" c&%a# "<.

FIGURA 1.2 CLASI?ICACION DEL CONTROL EN LA INDUSTRIA. En l ,$& %& "&0i&"& a %i%!&(a% #& c n!" l $!ili/a# % &n la in%#$!"ia. &%! % %& -$&#&n &n(a"ca" &n # % g"an#&% g"$- % ac$&"# al !i- #& in%!"$(&n! &(-l&a# %. &n &l -"i(&" g"$- %& "&0i&"& al c n!" l #i%c"&! 6 &n &l %&g$n# g"$- al c n!" l anal2gic . CONTROL DISCRETO. E% a,$&l %i%!&(a #& c n!" l &n # n#& %& $!ili/an %&+al&% #&l !i- &nc&n#i# a-aga# &% #&ci" % l #a" # % al!&"na!i4a% # % "&%-$&%!a%. !an! -a"a %&+al&% #& &n!"a#a c ( -a"a la %&+al&% #& %ali#a. ca7& (&nci na" ,$& a,$8 la% %&+al&% -$&#&n !&n&" 4a"i % ni4&l&% :* 5 Jc#.<. :* a 92* Jac.< :* 22* Jac<. -&" %i&(-"& c n # % -ci n&% )nica(&n!&. Pa"a (&3 " : nF 00< 6 -$&#&n %&" &n!"a#a $na %ali#a. CONTROL ANALOGICO. E% a,$&l %i%!&(a #& c n!" l &n #2n#& la% %&+al&% ,$& %& (an&3an % n #&l !i- anal2gic . &% #&ci". &%!a %&+al 4a"8a &n &l !i&(- &n 0 "(a in!&""$(-i#a #&%#& $n 4al " (8ni( 'a%!a $n 4al " (5Ei( . 74ia(&n!& la %&+al -$&#& ! (a" c$al,$i&" !i&(- &n 0 "(a in!&""$(-i#a #&%#& $n 4al " (8ni( 'a%!a $n 4al " (5Ei( . 74ia(&n!& la %&+al -$&#& ! (a" c$al,$i&" 4al " &n!"& &%! % l8(i!&%. c ( &3&(-l % a &%! - #&( % %&+ala" $na %&+al ,$& 4a"8a #& 4 a 2*(A c ( $na #& la% (5% $!ili/a#a% &n la in#$%!"ia. a$n,$& cla" &Ei%!&n !"a% c ( % nD * a 5* (A. * a 5 Jc#. * 9* Jc#.F 5 Jc#. F9* a K 9* Jc#. Ca#a %&+al #& la% an!&% (&nci na#a% 4a a %&" #&0ini#a - " la% ca"ac!&"8%!ica% #& l % in%!"$(&n! % &(-l&a# %. D&7i# a la -" -ia na!$"al&/a #& la in#$%!"ia &% ($6 c ()n &nc n!"a" &%! % # % g"$- % #& c n!" l &n #& $na c (7ina#a. R&al(&n!& &%!a cla%i0icaci2n c$7"& ! #a la in#$%!"ia #& c n!" l #& $na (an&"a ($6 gl 7al. P " l !an! %& #&0in& !"a cla%i0icaci2n (5% ,$& na#a ! (an# c ( -$n! #& -a"!i#a la 0$nci2n #&l -" c&% (i%( . &%! %in i(- "!a" %i 'a6 %&+al&% #i%c"&!a% anal2gica%. &Ei%!&n 4 !i- % #& c n!" l #& Pagina L

ac$&"# a %$ a-licaci2n &n &l -" c&% (i%( . La #&0inici2n #& l % 4 !i- % #& c n!" l %& #&%c"i7& c ( %ig$&D CLASIFICACION DEL CONTROL DE ACUERDO A LA APLICACIN. CONTROL CONBINACIONAL. En &%!& !i- #& c n!" l %& ! (an $na %&"i& #& c (7inaci n&% &n la% %&+al&% #& &n!"a#a 6 #&-&n#i&n# #& !al c (7inaci2n &% &l "&%$l!a# &n $na %&+al #& %ali#a. a %$ 4&/ &%!a %&+al #& %ali#a -$&#& c n4&"!i"%& &n $na %&+al #& &n!"a#a &n !"a &!a-a #&l (i%( -" c&% #& c n!" l. Un 7$&n &3&(-l #& &%!& !i- #& c n!" l &% la l2gica (a!&(5!ica la &l&c!"2nica c (7inaci nal &n 7a%& #& c (-$&"!a% l2gica% :OR.AND.XOR. NOR NOT<. C ( &3&(-l #& &%! - #&( % ci!a" $n %i%!&(a #& %&g$"i#a# #& 0$&g 6 ga% &n # n#& &l %i%!&(a #&!&c!a la / na # n#& &Ei%!& -&lig" . -&" a %$ 4&/ &n ca#a / na &Ei%!&n $na can!i#a# #&!&"(ina#a #& %&n% "&% !an! #& ga% c ( #& 0$&g . -a"a ,$& &l %i%!&(a #&!&c!& $na acci2n #& -&lig" &(in&n!& &% n&c&%a"i ,$& %& #&n $na %&"i& #& c (7inaci n&% &n ca#a / na &n l % %&n% "&% !an! #& 0$&g c ( #& ga% &%-&c80ica(&n!& -a"a &n!"&ga" $na %&+al - " / na an$ncian# &l -&lig" la!&n!&. CONTROL SECUENCIAL. Tal c ( %$ n (7"& l in#ica &%!& !i- #& c n!" l c$(-l& c n $na %&c$&ncia 6a #&0ini#a - " &l (i%( -" c&% . &n # n#& &l -" c&% &%!a 0 "(a# - " 4a"ia% &!a-a%. 6 ca#a &!a-a &% in#&-&n#i&n!& #& la% #&(5%. c n la &Ec&-ci2n #& ,$& -a"a inicia" $na &!a-a &% c n#ici2n ,$& #&7& !&"(ina" la ,$& &%!a &n -" c&% 6 a%8 %$c&%i4a(&n!& 'a%!a !&"(ina" la )l!i(a. Pa"a "&-&!i" &l -" c&% %& 4$&l4& a inicia" la -"i(&"a &!a-a. Una 4&/ !&"(ina#a &%!a c n!in)a la %&g$n#a 6 a%8 %$c&%i4a(&n!& 'a%!a ll&ga" a la &!a-a 0ina #& n$&4 . Una a-licaci2n ($6 c ()n &n &%!& -$n! % n la% -" #$cci n&% &n %&"i& #& (an$0ac!$"a. CONTROL SUPER#ISOR. E%!& !i- #& c n!" l a-lica c$an# %& &3&c$!a $na %&+al #& %ali#a 'acia $n in%!"$(&n! 6 &% #& %$(a i(- "!ancia a%&g$"a%& ,$& la %&+al 0$& "&ci7i#a - " &l in%!"$(&n! . Pa"a l g"a" &%! %& $!ili/a $na %&+al #& &n!"a#a la c$al inicia ,$& &0&c!i4a(&n!& la %&+al -"i(&"a(&n!& &n4ia#a 0$& &3&c$!a#a. Un &3&(-l 7a%!an!& cla" l - #&( % a-"&cia" La c$al in#ica ,$& &0&c!i4a(&n!& la %&+al -"i(&"a(&n!& &n4ia#a 0$& &3&c$!a#a Un &3&(-l 7a%!an!& cla" l - #&( % a-"&cia" c$an# %& (an#a -&"a" $n ( ! " ,$& %& &nca"ga #& ( 4&" $na 7an#a !"an%- "!a# "a. M5% ,$& i(- "!a"n % la -&"aci2n #&l ( ! " n % in!&"&%a %a7&" %i "&al(&n!& %& &%!a ( 4i&n# la 7an#a !"an%- "!a# "a. -a"a l g"a" &%! %& $!ili/a $n %&n% " #& ( 4i(i&n! &l c$al n % in#ica -"i(&"a(&n!& ,$& la 7an#a &0&c!i4a(&n!& &%!a &n ( 4i(i&n! 6 - " c n%&c$&ncia &l ( ! " !a(7iCn. CONTROL REGULATORIO. E%!& !i- #& c n!" l &% (5% % 0i%!ica#. -$&% $!ili/a alg "i!( % (a!&(5!ic % ($6 c (-l&3 % -a"a "&ali/a" %$ 0$nci2n #& "&g$la" $n -" c&% &n 0 "(a a$! (5!ica. E%!& !i- #& c n!" l &% a,$&l ,$& %& &nca"ga #& c n!" la" $n -" c&% -a"a ,$& &%!& -&"& &n 0 "(a a$! (5!ica. E% #&ci". &l -" c&% -$&#& %$0"i" ca(7i % 6 &l (i%( %i%!&(a &% ca-a/ #& "&ali/a" l % a3$%!&% n&c&%a"i % -a"a ,$& &l -" c&% n % &n!"&g$& l % "&%$l!a# % #&%&a# %. C ( &3&(-l !&n&( % $na l8n&a #& ga% c (7$%!i7l& la c$al %& #&%&a ,$& &%!& -"&%i na#a c n%!an!&(&n!& a 4* ;g Gc(2 in#&-&n#i&n!&(&n!& #& l % $%$a"i % ,$& %& c n&c!&n a &%!a l8n&a. Pa"a (an!&n&" la -"&%i2n %& a7"& ci&""a $na 45l4$la ,$& -$&#& %&" c n!" la#a %$ a-&"!$"a #&%#& &l *M 'a%!a &l 9**M c n $na %&+al #& 4 a 2* (A. Si la -"&%i2n 7a3a - " #&7a3 #&l 4al " #&%&a# la 45l4$la %& c&""a"5 a$! (5!ica(&n!& -a"a c (-&n%a" la -"&%i2n. - " &l c n!"a"i %i la -"&%i2n a$(&n!a - " &nci(a #&l 4al " &%!i-$la# la 45l4$la !&n#"5 a a7"i"%& 'a%!a l g"a" &l -$n! #& la -"&%i2n #& 4* ;g Gc(2. 74ia(&n!& l #&%c"i! an!&"i "(&n!& l "&ali/a &l %i%!&(a #& c n!" l &n 0 "(a a$!2n (a. En $n -"inci-i l % PLC 0$&" n #&%a"" lla# % )nica(&n!& -a"a c n!" la" %i%!&(a% #& c n!" l #i%c"&! % l2gic % : nH 00<. -&" al !"an%c$""i" &l !i&(- 'an l g"a# $n #&%a"" ll c"&ci&n!& 'a%!a c$7"i" ' 6 &n #8a c n!" l "&g$la! "i . &l c$al #&%#& 6a 'ac& 7a%!an!&% a+ % &"a $n %i%!&(a #& c n!" l &Ecl$%i4 #& l % %i%!&(a% #& c n!" l #i%!"i7$i# % :DSC<. En la 0ig$"a 9.3 %& -$&#& a-"&cia" $n #iag"a(a a 7l ,$&% #& $n %i%!&(a 7a%a# &n PLC # n#& $!ili/a !a"3&!a% #& # % !i- %. #i%c"&!a% 6 anal2gica%. Tan! #& &n!"a#a Pagina N

c ( #& %ali#a. P$&%! ,$& a' "a 6a %& &%!5n c n%i#&"an# %&+al&% #& na!$"al&/a anal2gica% la% 0$nci n&% #&l -" c&%a# " #& #&l PLC %& 4&n a$(&n!a#a% al !&n&" la ca-aci#a# #& &3&c$!a" -&"aci n&% a"i!(C!ica%. #&ci%i n&% #& c (-a"aci2n 6 alg "i!( % (a!&(5!ic % ca-ac&% #& "&ali/a" c n!" l #&l !i"&g$la! "i .

La% !a"3&!a% &l&c!"2nica% ,$& %& &nca"gan #& %&n%a" c n!" la" la% %&+al&% anal2gica% 74ia(&n!& % n (5% &%-&ciali/a#a% in#$%!"ia -a"a c n!" la" l % -" c&% %. &n la 0ig$"a 9.3 %& in#ica la% (5% $!ili/a#a%. L % PLCO% %& 'an "&4 l$ci na# !an! &n &l c n!" l in#$%!"ial #8a c n #8a 4 l4iCn# %& ca#a 4&/ "5-i# % &n &l -" c&%a(i&n! #& %&+al&%. (5% c n0ia7l&%. 6 (5% 05cil&% #& -" g"a(a". E%-&c80ica(&n!& "&0i"iCn# %& ca#a 4&/ (5% "5-i# % &n &l (a"ca All&nF ="a#l&6 %& c$&n!a c n $na a(-lia ga(a #& ( #&l % 6 !a(a+ % #& ac$&"# a la a-licaci2n. #an# c ( "&%$l!a# $na a#&c$aci2n al 9**M a l % -" c&% % %in i(- "!a" &l !a(a+ #& &%! %. C ( #a! 'i%!2"ic All&n ="a#l&6 %& #&#ica a "&ali/a" %i%!&(a% #& c n!" l #&%#& &l a+ #& 9N*2 La 0ig$"a 9.4 ($&%!"a &n 0 "(a #& "&%$(&n l ,$& -$&#& a7a"ca" $n %i%!&(a #& PLCO%. En &%!a 0ig$"a %& &%!5 incl$6&n# alg$n % (2#$l % &%-&ciali/a# % ,$& n %& 'an c (&n!a# an!&"i "(&n!&. c ( % n &l ( #$l #& %inc" n8a #& l8n&a. M2#$l #& 4i%i2n. (2#$l % &%-&ciali/a# % -a"a c ($nica"%& c n !" % %i%!&(a% in!&lig&n!&% 6 alg$n !" % (2#$l % #&l !i- anal2gic % (5% &%-&ciali/a# %.

Pagina 9*

?IGURA 9.4

Pagina 99

CARACTERISTICAS GENERALES DE UN SITEMA BASADO EN PLC. EEi%!&n cinc ca"ac!&"8%!ica% ,$& &ngl 7an &n 0 "(a ! !al la% 7 n#a#&% #& $n %i%!&(a #& c n!" l 7a%a# &n PLCO%. a c n!in$aci2n %& #a $na 7"&4& #&%c"i-ci2n #& ca#a $na #& &lla. MODULAR E%! %& "&0i&"& a ,$& &l %i%!&(a #& c n!" l -$&#& %&" a"(a# c n $na %&"i& #& (2#$l % &l&c!"2nic % #& 4a"i % !i- % 6 !a(a+ % ,$& "&0l&3an la% n&c&%i#a#&% &n !a(a+ 6 0$nci2n #&l -" c&% . &% #&ci". &% c ( (an#a" $n -an!al2n a la (&#i#a c n $n %a%!"&. ESCALABLE E%!a ca"ac!&"8%!ica 'ac& "&0&"&ncia a ,$& $na 4&/ c n%!"$i# &l %i%!&(a #& c n!" l. %& !i&n& la al!&"na!i4a #& ,$& %iga c"&ci&n# %in !&n&" ,$& ca(7ia" ( #i0ica" l 6a c n%!"$i# . A#&(5% -&"(i!& incl$i" !&cn l g8a% %in !&n&" ,$& #&%&c'a" la &%!"$c!$"a 75%ica &Ei%!&n!&. DISTRIBUIDO E%! %& "&0i&"& a la #i%!"i7$ci2n g& g"50ica #& l % &,$i- % #& c n!" l a l la"g #& ! #a la -lan!a % n -&"#&" - #&" #& c n!" la" &l %i%!&(a &n 0 "(a in!&g"al. Pa"a &%! %& $!ili/an $ni#a#&% "&( !a% c n&c!a#a% al -" c&%a# " -"inci-al. &%!a ca"ac!&"8%!ica -&"(i!& al $%$a"i a' ""a"%& (a!&"ial&% &n la in%!alaci2n &lCc!"ica. ca7l& 6 (an #& 7"a. -$&%! ,$& l % &,$i- % in!&"ac!)an &n!"& %i !"an%0i"iCn# %& ($c'a in0 "(aci2n $!ili/an# c ( (&#i #& c ($nicaci2n $n ca7l& #& !"&% c n#$c! "&% &n #i%!ancia% (5Ei(a% #& 'a%!a 3 ;(. CONFIGURABLES. A,$8 'ac& "&0&"&ncia a la al!a #i%- ni7ili#a# #& $!ili/a" #i0&"&n!&% !i- % #& (2#$l % #& &n!"a#a% G %ali#a% :in%!"$(&n! % -a"a %&" in!&g"a# % a l % "&,$&"i(i&n! % #&l -" c&% . E% i(- "!an!& (&nci na" ,$& ! # % &%! % &,$i- % % n c n0ig$"a7l&% &n 7a%& a la% n&c&%i#a#&%. PROGRAMACIN ABIERTA. E%! % %i%!&(a% % n a7i&"! % 'acia &l $%$a"i . &% #&ci". &l $%$a"i -$&#& "&ali/a" la% ( #i0icaci n&% ,$& %& c"&an c n4&ni&n!&% -a"a (&3 "a% #&l -" c&% . &%!a ca"ac!&"8%!ica l& #a al cli&n!& la -ci2n #& n #&-&n#&" #&l 0a7"ican!& -a"a "&ali/a" ( #i0icaci n&% al %i%!&(a c$an# &l -" c&% l "&,$i&"a. Di % ca"ac!&"i%!ica% i(- "!an!&% % n ,$& &%! % &,$i- % -$&#&n % - "!a" !&(-&"a!$"a% #& 'a%!a @* g"a# % 6 - "c&n!a3& #& '$(&#a# "&la!i4a #&l N*M %in c n#&n%aci2n.

Pagina 92

PARTES FUNDAMENTALES DEL SISTEMA DE CONTROL /PLC0. Un %i%!&(a #& c n!" l 7a%a# &n &l PLCO% :C n!" la# "&% L2gic % P" g"a(a7l&%< &%!a 0 "(a# 08%ica(&n!& - " c$a!" &l&(&n! % &n -"i(&" l$ga" &%!a &l $%&'(') ,$& 4i&n& %i&n# la &%!"$c!$"a &n # n#& %& al 3a"an la% #i0&"&n!&% !a"3&!a% &l&c!"2nica% ,$& c n0 "(an &l %i%!&(a #& c n!" l. &n %&g$n# l$ga" &%!a la frente de alimentacin la c$al %$(ini%!"a"5 la &n&"g8a ,$& n&c&%i!a &l c'a%i% a !"a4C% #& 7acP-lan& :7$% -i%!a #& #a! %<. Pa"a ,$& la% #i0&"&n!&% !a"3&!a% &l&c!"2nica% -$&#an %&" &n&"gi/a#a% $na 4&/ ,$& %&an in%&"!a#a% &n la% #i0&"&n!&% l cali#a#&% 0$nci nan c ( in!&"0ac&% in!&"l c$! "&% &n!"& l % in%!"$(&n! % #& ca(- 6 &l -" c&%a# " c&"&7" #&l %i%!&(a. C ( &l&(&n! &%!a &l Procesador CPU #&l PLC :C n!" la# " L2gic P" g"a(a7l& < &%!a !a"3&!a &l&c!"2nica &% la -a"!& (&#$la" #&l %i%!&(a -$&% &% a,$8 # n#& %& "&ali/a la -" g"a(aci2n #&l %i%!&(a. %& -$&#& #&ci" ,$& &%!a !a"3&!a &l&c!"2nica ac!)a c ( &l c&"&7" #&l %i%!&(a. C!ASIS. C n c& !a(7iCn c ( 7a%!i# ". %& -$&#& &nc n!"a" &n 4a"ia% -"&%&n!aci n&% &%! #&-&n#& #& la can!i#a# #& !a"3&!a% &l&c!"2nica% ,$& %&a ca-a/ #& al 3a" &n %$ in!&"i " 2.9 %& il$%!"an l % n)(&" % #& ca!5l g % #& l % #i0&"&n!&% !i- % #& c'a%i%&% #& la (a"ca All&nQ="a#l&6 #i%- ni7l&% &n &l (&"ca# .

TA=LA 2.9
N . D& Ca!5l g N . D& Ran$"a% Ca"ga (aE. Ti- % #& (2#$l % #& &n!"a#a% 6 %ali#a% ,$& % n ac&-!a# % - " &l c'a%i% 9HH9FA9= 4 24 A(-. M2#$l % #& la 0a(ilia 9HH9 9HH9FA2= L 24 A(-. M2#$l % #& la 0a(ilia 9HH9 9HH9FA3= 92 24 A(-. M2#$l % #& la 0a(ilia 9HH9 9HH9FA4= 9@ 24 A(-. M2#$l % #& la 0a(ilia 9HH9 9HH9FPSC 4 24 A(-. M2#$l % #& la 0a(ilia 9HH46 0$&n!&% #& - #&" #& 9 6 2 "an$"a% 9HH9FAMI 9 3 A(-. M2#$l % #& la 0a(ilia 9HH9 6 0$&n!&% #& - #&" #& 9 "an$"a 9HH9FAMI 2 3 A(-. M2#$l % #& la 0a(ilia 9HH9 6 0$&n!&% #& - #&" 9 6 2 "an$"a% En la 0ig$"a 2.9 %& %&+ala la $7icaci2n 6 %$ "&%-&c!i4 % n (7"&% #& la% -a"!&% -"inci-al&% ,$& 0 "(an a $n c'a%i%. En &%!a 0ig$"a %& - n& &l &3&(-l #& c'a%i% 9HH9FA3= #& 92 "an$"a%.

?IGURA 2.9 Pagina 93

La "an$"a &n # n#& %& in%&"!a &l CPU -" c&%a# " n %& c n!a7ili/a &n &l c'a%i%. %& -$&#& c n%i#&"a" c ( la "an$"a c&" . La -laca ,$& %& &nc$&n!"a 'a%!a &l 0 n# #&l c'a%i% %& c n c& c ( =AC;PLANE -i%!a 7$% #& #a! %. &%!a -a"!& #&l c'a%i% %i"4& -a"a #i%!"i7$i" la ali(&n!aci2n a ! #a% la% !a"3&!a% &l&c!"2nica% ,$& % n in%&"!a#a% &n &l in!&"i " #&l c'a%i% incl$6&n# &l CPU. !a(7iCn 0$nci na c ( (&#i #& c ($nicaci2n &n!"& la% !a"3&!a% &l&c!"2nica% #& &n!"a#a% 6 %ali#a% c n &l -" c&%a# " CPU. Ca#a "an$"a %&g)n %& ($&%!"a &n la 0ig$"a c$&n!a c n 2 c n&c! "&% $n %$-&"i " 6 !" in0&"i ". &l c n&c! " %$-&"i " %i&(-"& &% $!ili/an# - " ! # % l % (2#$l %. #&7i# a ,$& a'8 %& &nc$&n!"an la% c n&Ei n&% #& #i%!"i7$ci2n #& &n&"g8a #&l =acPPlan&. &l c n&c! " n ! #a% la% !a"3&!a% l $!ili/a. &%! 4a a #&-&n#&" #&l !i- #& !a"3&!a ,$& %& &%!& $!ili/an# . El in!&""$-! " SRF9 %i"4& -a"a c n0ig$"a" al c'a%i%. (5% a#&lan!& %& a(-lia"5 c ( "&ali/a" la c n0ig$"aci2n. El !" &l&(&n! &% $n -$&n!& %i!$a# &n la -a"!& %$-&"i " #& la "an$"a n)(&" 9 &%!& -$&n!& &%!a "&laci na# c n la% 0$&n!&% #& ali(&n!aci2n #&l PLC. #& ig$al ( # %&"5 a(-lia# &n %$ ( (&n! . ?UENTE DE ALIMENTACIN. Un %i%!&(a #& c n!" l :PLC< %i&(-"& $!ili/a # % 0$&n!&% #& ali(&n!aci2n -a"a ,$& &l %i%!&(a -$&#& -&"a". La -"i(&"a #& &lla% %& c n c& c ( fuente de alimentacin del PLC o de control, &%!a 0$&n!& &% la "&%- n%a7l& #& ali(&n!a la% #i0&"&n!&% !a"3&!a% &l&c!"2nica% al 3a#a% &n &l c'a%i%. La !"a 0$&n!& #& &n&"g8a %& l& c n c& c ( fuente de alimentacin de instrumentos o de fuerza. E%!a 0$&n!& %& &nca"ga #& %$(ini%!"a" la &n&"g8a n&c&%a"ia a ! # % l % in%!"$(&n! % ,$& %& c n&c!an a !"a4C% #& la% !a"3&!a% &l&c!"2nica% #& &n!"a#a% 6 %ali#a% l cali/a#a% &n la% #i0&"&n!&% "an$"a% #&l c'a%i%. FUENTE DE ALIMENTACIN DEL PLC. C n ci#a !a(7iCn c ( 0$&n!& #& c n!" l. S& &(-l&a -a"a ali(&n!a" al -" c&%a# " 6 la !a"3&!a% &l&c!"2nica% #& &n!"a#a% 6 %ali#a% &Ei%!&n # % 0 "(a% -a"a l g"a". la -"i(&"a &% c n $na fuente interna la c$al % c l ca#a &n la% "an$"a% #&l (i%( c'a%i%. 74ia(&n!& &l $!ili/a $na fuente interna in4 l$c"a !&n&" ,$& %ac"i0ica" "an$"a% -a"a $!ili/a" (5% !a"3&!a% &l&c!"2nica%. #& &n!"a#a% #& %ali#a% &n &l c'a%i%. La !"a al!&"na!i4a &% $!ili/a" fuentes e ternas al c'a%i%. -a"a ali(&n!a" &l c'a%i% &n &%!& ca% %& $!ili/a $na c n&Ei2n - " (&#i #& $n ca7l& &%-&cial #& la 0$&n!& a $n c n&c! " &%-&cial #&l c'a%i%. N % "&,$&"i(i&n! % #&l c'a%i% % n 5 4&% -a"a $na ca"ga (5Ei(a #& 24 A(-&"&%. in#&-&n#i&n!&(&n!& #&l !i- #& 0$&n!& ,$& %& $!ilic& :in!&"na &E!&"na<. En la 0ig$"a 2.9 %& il$%!"a l % # % ca% %.

FIGURA 2.1.1

FUENTE DE ALIMENTACIN DEL PLC INTERNA. D&7i# a ,$& &%!a 0$&n!& $!ili/a la% (i%(a% "an$"a% #&l c'a%i% ,$& % n $!ili/a#a% -"inci-al(&n!& - " la% !a"3&!a% &l&c!"2nica% :(2#$l %< #& &n!"a#a% Pagina 94

#& %ali#a%. al c l ca" la 0$&n!& &n &%!& l$ga" %& -&"#&"5 #i%- ni7ili#a# #&l %i%!&(a -a"a in%&"!a" $!ili/a" $n 4a"i % (2#$l % a#ici nal&%. C ( 4&n!a3a %& !i&n& ,$& %& a' ""a &%-aci &n &l !a7l&" #& c n!" l &n # n#& &%!& in%!ala# &l c'a%i%. -!i(i/an# a%8 &l &%-aci #i%- ni7l& -a"a !" % &,$i- % c ( in!&""$-! "&% cli(a% :!&"(inal&% #& c n&Ei2n< &n!"& !" %. &n la !a7la 2.9 %& il$%!"an ! # % l % !i- % #& 0$&n!&% ,$& %& -$&#&n $!ili/a" -a"a ali(&n!a" l % c'a%i%&% #& la 0a(ilia 9HH9 #& l % &,$i- % All&n ="a#l&6. TABLA 2.2. C12. N3. 9HH9FP4S 9HH9FP@S 9HH9FP5 9HH9FP4R 9HH9FP@R T453 67 897:27 $3;21<7 67 357=1>4?: $3;21<7 67 @1;461 1 AA5 N3. R7:9=1@ Si(-l& Si(-l& Si(-l& R&#$n#an!& R&#$n#an!& 92* Jac a 5* @* 1/ 22* Jac a 5*F@* 1/ 24 Jc# 92* Jac.a 5*G@*1/ 22* Jac a 5**O@* 1/. 5.* Jc# a L A(-&"&% 5.* Jc# a L A(-&"&% 5.* Jc# a L A(-&"&% 5.* Jc# a L A(-&"&% 5.* Jc#a L A(-&"&% 9 9 2 9 9 P327:>41 &122@ 5@ 5@ 5H @2 @2

El !&(a #& ?$&n!&% "&#$n#an!&% n &%!a incl$i# &n &%!& (an$al. A c n!in$aci2n %& il$%!"an alg$na% #& la% 0$&n!&% #&%c"i!a% &n la !a7la 2.2 'aci&n# "&0&"&ncia a %$% -a"!&% -"inci-al&% ,$& la% 0 "(an.

FIGURA 2.2. FUENTE DE ALIMENTACION DE PLC E*TERNA. E%!a% 0$&n!&% - " &l c n!"a"i #& la% an!&"i "&% :in!&"na%< n % 0"&c&n (a6 " #i%- ni7ili#a# -a"a &l %i%!&(a #& c n!" l. -$&% n $!ili/an la% "an$"a% #&l c'a%i% -a"a ali(&n!a"l . &n &%!& ca% &l c'a%i% &% ali(&n!a# - " (&#i #& $n c n&c! " &%-&cial :ca7l&S< - " (&#i #& $n c'a%i% a#ici nal ,$& al 3a la 0$&n!& &E!&"na.. # n#& &l c'a%i% a#ici nal &% $ni# al c'a%i% ,$& c n!i&n& &l -" c&%a# " 6 l % (2#$l % #& &n!"a#a% %ali#a%. O74ia(&n!& &l inc n4&ni&n!& a,$8 &% ,$& %& n&c&%i!a (5% &%-aci . En la !a7la 2.3 %& li%!an &%!& !i- #& 0$&n!&% &n %$% ca"ac!&"8%!ica% &lCc!"ica% 6 08%ica.

TABLA 2.# C12. N3. 9HH9FP9 9HH9FPH 9HH9F P4 T453 67 897:27 Si(-l& %i(-l& Si(-l& B3;21<7 67 357=1>4?: 92*G22* Jac a @* 1/ 92*G22* Jac a @* 1/ 24 Jc# $3;21<7 67 @1;461 1 1A57=1<7 5.9 Jc# a @.5 a(-&"&% 5.* Jc# a 9@ a(-&"&% 5.* Jc#a N a(-&"&% Pagina 95

A c n!in$aci2n %& il$%!"a"5 $na #& la% 0$&n!&% #&%c"i!a% &n la !a7la 2.3 'aci&n# "&0&"&ncia a %$% -a"!&% -"inci-al&% ,$& la% 0 "(an.

FIGURA 2# FUENTE DE ALIMENTACIN A INSTRUMENTOS. C n ci#a !a(7iCn c ( 0$&n!& #& ali(&n!aci2n #& 0$&"/a. E%!a 0$&n!& &% la "&%- n%a7l& #& ali(&n!a" ! # % l % in%!"$(&n! % -a"a ,$& &%! % -$&#an -&"a". - " l !an! la% ca"ac!&"8%!ica% #& la 0$&n!& #&-&n#&n #& la% n&c&%i#a#&% #& la% in%!"$(&n!aci2n (i%(a. a%8 ,$& &%! -$&#& %&" !an 4a"ia7l& ,$& %i %& $!ili/an in%!"$(&n! % c n #i0&"&n!&% "ang % #& ali(&n!aci2n %& !i&n&n ,$& $%a" 4a"ia% 0$&n!&% -a"a c$7"i" ! #a% la% n&c&%i#a#&%. En la 0ig$"a 2.4 %& il$%!"a $na 0$&n!& #& in%!"$(&n! %.

Pagina 9@

FIGURA 2.#

C ( -$n! #& -a"!i#a %& !i&n& $na 0$&n!& #& ali(&n!aci2n a in%!"$(&n! % #& 24 J l!% #& c ""i&n!& #i"&c!a. Sig$i&n# la !"a6&c! "ia #&l ci"c$i! &n la 0ig$"a 2.5 %& !i&n& $n in!&""$-! " TS11 :Ac!i4a# - " al!a !&(-&"a!$"a &l c$al &% ali(&n!a# - " la 0$&n!& #& 24 Jc#.. c$an# &l in!&""$-! " TS11 %& ac!i4a !"an%0i&"& $na %&+al #& 24 JCD al canal $n #&l (2#$l #& &n!"a#a% #i%c"&! . &l c$al in!&"na(&n!& c$&n!a c n $n circuito electrnico o!to aco!lado, &%!& )l!i( %& %in!&!i/a &n # % 7l ,$&% &l -"i(&" %& "&0i&"& a $n ci"c$i! &(i% " #& l$/ &l c$al %& ac!i4a#a - " &l in!&""$-! " TS11 c$an# &%!& %& ci&""&. &l %&g$n# 7l ,$& &% &l ci"c$i! "&c&-! " #& l$/ &l c$al %& ac!i4a"5 al "&ci7i" &l 'a/ l$(in % &(i!i# - " &l -"i(&" 7l ,$&. -a" in!&"na(&n!& $n ci"c$i! -! ac -la# &% ($c' (a% c (-lica# &l&c!"2nica(&n!& 'a7lan# l i(- "!an!& a,$8 &% &n!&n#&" &l c nc&-! #& c n4&"%i2n #& %&+al&% - " (&#i #& la l$/. L % (2#$l % #& &n!"a#a% #i%c"&!a% #igi!al&% %& cla%i0ican #& ac$&"# a la 0$&n!& #& in%!"$(&n! % ,$& %& 4a6a a $!ili/a" 6 %& cla%i0ican c ( %ig$&D

Pagina 9H

MDULOS DE ENTRADAS DISCRETAS DE CORRIENTE DIRECTA . EEi%!&n 4a"i % !i- % #& (2#$l % #&n!" #& &%!a cla%i0icaci2n. &n la !a7la 2.4 %& ($&%!"a &l n)(&" #& ca!al g #&l (2#$l .. &l n)(&" #& in%!"$(&n! % &n!"a#a% ,$& -$&#&n %&" c n&c!a# % a ca#a (2#$l . a%8 c ( &l ni4&l #& &n&"g8a 4 l!a3& #& -&"aci2n #&l (2#$l . !a(7iCn &l c n%$( #& c ""i&n!& &n &l 7acP-lan& #&l c'a%i% - " &l (2#$l in#ica# &n la !a7la. TABLA 2. N3. C121;3C? 9HH9FIG 9HH9FIGD 9HH9FDR 9HH9FI= 9HH9FI=D 9HH9FI=N 9HH9FIC 9HH9FICD 9HH9FI1 9HH9FIU9@ 9H4@FI19@ $3;21<7 67 357=1>4?: 5*F5.3 Jc# :TTL< 5.*F5.3 Jc# :TTL< 95 a 2H Jc# 9*a 2H Jc# 9* a 3* Jc# 9* a 3* Jc# 42 a @2 Jc# 2* a @* Jc# 24T 4L Jc# 9* a 32 Jc# N*T 94@ Jc# NDA7=3 67 7:2=161@ C3==47:27@ 67 >1=C1@ 67; E1>F5;1:7 L 922 ( A 9@ 93* (A H 3** (A L H4 (A 9@ 25* (A 32 2L* (A L H4 (A 9@ 25* (A L H4(A 9@ 9** (A 9@ L5 (A

MDULOS DE ENTRADAS DISCRETAS DE CORRIENTE ALTERNA Y GO CORRIENTE ALTERNA. EEi%!&n 4a"i % !i- % #& (2#$l % #&n!" #& &%!a cla%i0icaci2n. En la !a7la 2.@ %& ($&%!"a &l n)(&" #& ca!al g2 #&l (2#$l . &l n)(&" #& in%!"$(&n! % &n!"a#a% ,$& -$&#&n %&" c n&c!a# % a ca#a (2#$l . a%8 c ( &l ni4&l #& &n&"g8a 4 l!a3& #& -&"aci2n #&l (2#$l . !a(7iCn &l c n%$( #& c ""i&n!& &n &l 7acP-lan& #&l c'a%i% - " &l (2#$l in#ica# &n la !a7la. TABLA 2.6 N3. C12G;3C3 9HH9FID 9HH9FID9@ 9HH9FIND 9HH9F IAN 9HH9FI( 9HH9F IMI@ $3;21<7 67 357=1>4?: N2 a 93L Jca N2 a 93L Jc# HHT a 93L Jca 9*5 a 93L Jca 9@ a 93* Jca N a 3* Jc# L5 a93L Jca Unica(&n!& 9L4T 2H@ Jca 9L4T 2H@ Jc# 95N a 2@4 Jca 9L4T 2H@ Jc# NDA7=3 67 7:2=161@ @ 9@ 9@ 32 L 9@ C3==47:27 67 >1=C1 67; E1>F5;1:7 H4 (A H5 (A 25* (A 2L* (A H5 (A 9** (A

MDULO DE SALIDAS DISCRETAS E% a,$&l #i%- %i!i4 ca-a/ #& c n4&"!i" $na %&+al : nF 00< #& c n!" l a $na %&+al #& 0$&"/a : nF 00<. C ( %&+al&% #& 0$&"/a %& !i&n&n %&+al&% #&l "ang #& 5 a 25* J l!% #& c ""i&n!& #i"&c!a. a%8 c ( #& Pagina 9L

92 a 25* J l!% #& c ""i&n!& al!&"na. La %&+al #& c n!" l %i&(-"& &% la (i%(a 6 % l (an&3a # % 4al "&% &l -"i(&" #& &ll % &% &l cero l"ico : ,$& &,$i4al& a 4al "&% &n!"& &l c n!" l #& * a 2 Jc# a- "E.< &%!& 4al " in#ica &l &%!a# A nB #&l in%!"$(&n! c n&c!a# al (2#$l . El %&n!i# #&l 0l$3 #&l in0 "(aci2n &n %&+al nac& &l %&n #&l -" g"a(a #& c n!" l c n!&ni# &n &l -" c&%a# " CPU &n la ?ig$"a 2.@ %& il$%!"a a 7l ,$&% c ( in!&"ac!)an la% # % 0$&n!& c n &l (2#$l #& %ali#a% #i%c"&!a%.

La 0$&n!& #& ali(&n!aci2n #&l PLC &% la ,$& %$(ini%!"a la &n&"g8a al (2#$l #& %ali#a% #i%c"&!a% -a"a ,$& l % ci"c$i! % &l&c!"2nic % ,$& &%!5n &n %$ in!&"i " -$&#an -&"a". La 0$&n!& #& ali(&n!aci2n a in%!"$(&n! &% la ,$& -" - "ci na la %&+al #& 0$&"/a 'acia &l (2#$l #& %ali#a% #i%c"&!a% -a"a ,$& &%!& -$&#a ali(&n!a" al in%!"$(&n! c n&c!a# al canal 9T !"a4C% #&l ci"c$i! &l&c!"2nic a-! ac -la# . Anali/an# la 0ig$"a 2.@ %2l 0al!a %a7&" c ( 0$nci na &l circuito electrnico o!to aco!lado, -a"a %i(-li0ica" &%!a &E-licaci2n #& ($&%!"a &l %ig$i&n!& &%,$&(a a 7l ,$&% &n la 0ig$"a 2.H

En &l ca% #& $n (2#$l #& %ali#a% #i%c"&!a% & 0l$3 #& in0 "(aci2n nac& &n la (&( "ia #&l -" g"a(a #& c n!" l %i!$a# &n &l -" c&%a# " CPU C$an# &l P" c&%a# " &n48a $na %&+al -a"a ac!i4a" $na Pagina 9N

% l&n i#& c ( %& ($&%!"a &n la 0ig$"a -"i(&"a(&n!& &n48a $na %&+al #& c n!" l L9 l2gic ,$& %al& #&l (i%( -" c&%a# " 6 4ia3a a !"a4C% #&l =acPPlan& L-i%!a #& #a! %< #&l c'a%i%. L$&g &%!a %&+al #& c n!" l &% !"an%0&"i#a a la% -&in&% #& c n&Ei2n #&l (2#$l #& %ali#a% #i%c"&!a%. In(&#ia!a(&n!& &% ac!i4a# &l ci"c$i! &(i% " #& l$/. g&n&"an# $n 'a/ l$(in % &l c$al in#$ci"Va &n &l ci"c$i! $n in!&""$-! " &l&c!"2nic ,$& &E-$&%! %& c n%i#&"a ,$& la 0$&n!& #& ali(&n!aci2n a in%!"$(&n! % &% #& 24 J l!i % #& c ""i&n!& #i"&c!a. L % (2#$l % #& %ali#a% #i%c"&!a% #igi!al&% %& cla%i0ican #& ac$&"# a la 0$&n!& #& in%!"$(&n! 6 al in%!"$(&n! (i%( ,$& %& 4a6a a $!ili/a" 6 %& cla%i0ican c ( %ig$&D MDULOS DE SALIDAS DISCRETAS DE CORRIENTE DIRECTA. EEi%!&n 4a"i % !i- % #& (2#$l % #&n!" #& &%!a cla%i0icaci2n &n la !a7la 2.L %& ($&%!"a &l n)(&" #& ca!al g #&l (2#$l . &l in%!"$(&n! % %ali#a% ,$& -$&#&n %&" c n&c!a#a% a ca#a (2#$l a%8 c ( &l ni4&l #& &n&"g8a 4 l!a3& #& -&"aci2n #&l (2#$l . la (5Ei(a #&(an#a #& c ""i&n!& ,$& c (- "!a &l (2#$l - " ca#a %ali#a 6 - " &l (2#$l c (-l&! -a"a ali(&n!aci2n a in%!"$(&n! % &% #& 24 J l!i % #& c ""i&n!& #i"&c!a. L % (2#$l % #& %ali#a% #i%c"&!a% #igi!al&% %& cla%i0ican #& ac$&"# a la 0$&n!& #& in%!"$(&n! (i%( ,$& %& 4a6a a $!ili/a" 6 %& cla%i0ican c ( %ig$& MDULOS DE SALIDAS DISCRETAS DE CORRIENTE DIRECTA. EEi%!&n 4a"i % !i- % #& (2#$l % #& &%!a cla%i0icaci2n &n la !a7la 2.L %& ($&%!"a &l n)(&" #& ca!al g #&l (2#$l . &l n)(&" #& in%!"$(&n! %ali#a% ,$& -$&#&n %&" c n&c!a# % a ca#a (2#$l . a%8 c ( &l ni4&l #& &n&"g8a 4 l!a3& #& -&"aci2n #&l (2#$l . la (5Ei(a #&(an#a #& c ""i&n!& ,$& % - "!& &l (2#$l - " ca#a %ali#a 6 - " &l (2#$l c (-l&! -a"a ali(&n!a" l % in%!"$(&n! % c n&c!a# % 6 - " )l!i( &l c n%$( #& c ""i&n!& &n &l 7acP-lan& #&l c'a%i% - " &l (2#$l . N3. C121;?C3 9HH9FOGD 9HH9FO7 9HH9FO=N 9HH9FOU9@ TABLA 2.+ $3;21<7 NDA7=3 67 C3==47:27 A1H. C3==47:27 A1H 67 357=1>4?: @1;461@ P3= E /S. 67; A?69;3 5.*F5.3 Jc# 9@ *.*2 A(-. N i(-lica :TTL< 9* a 2H Jc# L 9.5 A(-. L A(-. 93a 3* Jc# 32 *.5 A(-. L A(9* a 32 Jc# 9@ 2 A(-. 32 A(P. C3==47:27 67 >1=C1 67; E1>F5;1:7 23* (A. 9@5Ma 33* (A 4** (A.

MDULOS DE SALIDAS DISCRETAS DE CORRIENTE ALTERNA. EEi%!&n 4a"i % !i- % #& (2#$l % #&n!" #& &%!a cla%i0icaci2n. &n la !a7la 2.L %& ($&%!"a &l n)(&" #& ca!al g #&l (2#$l . &l n)(&" #& ca!al g #&l (2#$l . &l n)(&" #& in%!"$(&n! % %ali#a% ,$& -$&#&n %&" c n&c!a# % a ca#a (2#$l . a%8 c ( &l ni4&l #& &n&"g8a 4 l!a3& #& -&"aci2n #&l (2#$l . la (5Ei(a #&(an#a #& c ""i&n!& ,$& % - "!a &l (2#$l - " ca#a %ali#a 6 - " &l (2#$l c (-l&! -a"a ali(&n!a" l % in%!"$(&n! % c n&c!a# %. 6 - " )l!i( &l c n%$( #& c ""i&n!& &n &l 7acP-lan& #&l c'a%i% - " &l (2#$l . TABLA 2.8 N3. C12G;3C3 $3;21<7 67 NDA7=3 67 C3==47:27 A1H. C3==47:27 C3==47:27 67 357=1>4?: @1;461@ P3= >161 @1;461 A1H. D7; >1=C1 67; A?69;3 B1>FP;1:7 1++1'ON 2% 1 #% $>1 8 1. AA5. 6 AA5. 22 AA. 1++1'OND 1%'6% $>1 16 2.% AA5. 8 AA5. +%% AA. 1++1'OP (2 11#8 $>1 4 2.% AA5 8 AA5. # % AA. 1++1'ODD 8 1 1#8 $>1 16 2.% AA5 8 AA5. 42% AA. 1++1'OAD 1% 1 1#8 $>1 16 2.% AA5 8 AA5. 26 AA. 1++1'OMD 184 1 2 % $>1 16 2.% AA5 16 AA5 +%% AA. 1++1'OAN 8% 1 26 $>1 #2 1 AA5 I #% JC 8 AA5 8%% AA. %.# AA5 I 6% JC Pagina 2*

MDULOS DE SALIDAS DISCRETAS DE CONTACTOS SECOS. EEi%!&n Ja"i % !i- % #& (2#$l #&n!" #& &%!a cla%i0icaci2n. &n la !a7la 2.N %& ($&%!"a &l n)(&" #& ca!al g #&l (2#$l . &l n)(&" #& in%!"$(&n! % %ali#a% ,$& -$&#&n %&" c n&c!a# % a ca#a (2#$l . a%8 c ( &l ni4&l #& &n&"g8a 4 l!a3& #& -&"aci2n #&l (2#$l . la (5Ei(a #&(an#a #& c ""i&n!& c n%$( #& c ""i&n!& &n &l 7acP-lan& #&l c'a%i% - " &l (2#$l . N3. C12G;3C3 1++1'O& $3;21<7 357=1>4?: 67 TABLA 2.( NDA7=3 P327:>41 53= T453 67 C3==47:27 67 @1;461@ @1;461 C3:21>23@ A1H. D7; A?69;3 8 1 AA5. 8 /NC 3 NA0 N3 15;4>1 /#%&0 16 2 AA5 8 NA 128% &/>60 /8%&0 8 /NC 3 NA0 144% $A/>10 2 AA5 / %% $A0 #2 1 AA5 #2 NA 12 AA5. /#%&0 I 4 JC #2 1 AA5 #2 /NC 3 12 AA5. /#%&0 NA0 I 4 JC 4 2 AA5 /2%% 4 /NC 3 NA0 N3 15;4>1 A4@;161@ $A0 8 %.1 AA5. 4NC N3 15;4>1 4NA 8 %.1 AA5. 8 NA N3 15;4>1 C3==47:27 67 >1=C1 67; B1>FP;1:7 +%% AA. 1#%% AA.

24 1 1#8 $>1 24 1 12 $>6 1++1'O&16 24 1 2 % $>1 24 1 1 % $>6 1++1' O&NA 1++1'O&N 1++1'O, 1++1'OYL 1++1'O-L 24 1 1#8 $>1 24 1 12 $>6 24 1 1#8 $>1 24 1 12 $>6 % 1 2 % $>1 % 1 1+ $>6 % 1 24 $>1 % 1 24 $>6 % 1 24 $>1 % 1 24 $>6

2 %% AA. 2 %% AA. % AA. 42% AA. 42% AA.

PROCESADOR O CPU. El -" c&%a# " &% la !a"3&!a &l&c!"2nica (5% i(- "!an!& #& ! #a%. &l CPU -" c&%a# 4a in%&"!a# &n la -"i(&"a #&l la# i/,$i&"# #&l c'a%i%. El -" c&%a# " c ( -a"!& (&#$la" #&l %i%!&(a #& c n!" l &% &l ,$& -" c&%a ! #a la in0 "(aci2n ,$& "&ci7& #& l % (2#$l % #& &n!"a#a% #i%c"&!a% 6 #&ac$&"# a $na l2gica -"&4ia(&n!& #&!&"(ina#a - " &l $%$a"i &3&c$!a $n -" g"a(a #& c n!" l. c$an# !&"(ina #& &3&c$!a" &%!& -" g"a(a &n48a $n "&%$(&n #& %&+al&% #& c n!" l #& %ali#a -a"a ac!i4a" l % (2#$l % #& %ali#a% #i%c"&!a%. O74ia(&n!& &n &l in!&"i " #& la (&( "ia #&l -" c&%a# " "&%i#& ! #a la -" g"a(aci2n #&l %i%!&(a. -a"a &%! &l CPU c$&n!a c n (5% #& L* in%!"$cci n&% #& al! ni4&l. c$&n!a c n $na %&"i& #& "$!ina% #& a$! 4&"i0icaci2n -a"a #iagn %!ica" %$ 2-!i( 0$nci na(i&n! a#ici nal(&n!& !i&n& $na %&"i& #& -$&"! % #& c ($nicaci2n 'acia &l &E!&"i " -a"a - #&" &n4ia" "&ci7i" in0 "(aci2n #& 'acia $ni#a#&% "&( !a% #&-&n#i&n!&% #& CPU. All&nF ="a#l&6 c$&n!a c n $na a(-lia ga(a #& -" c&%a# "&% ,$& %& -$&#&n a#&c$a" a c$al,$i&" -" c&% %in i(- "!a" ,$& !an g"an#& -&,$&+ %&a &%!& La !a7la 2.9* il$%!"a l % #i0&"&n!&% !i- % #& -" c&%a# "&% in#ican# %$% ca"ac!&"8%!ica% -"inci-al&%.

Pagina 29

TABLA 2.1% P=3>7@163= MGH4A1 A7A3=41 /51;1E=1@0 MGH4A3@ E:2=161@ 64@>=721@ 59:23@ MGH4A3@ 59:23@ MGH4A3 S1;461@ 64@>=721@ 59:23@ 1:1;?C4>3@ E:2. Y/3 @1;461@ @ %ali#a% #& "&lC 92 %ali#a% #& "&lC @ %ali#a% #& "&lC 92 %ali#a% #& "&lC 9*4 :&n!"a#a%F Sali#a%< 25@ :&n!"a#a% Q %ali#a%< 25@ 9*24 N@ 592 592 9*24 2*4L 2*H2 3*H2 4*N@ 4*N@ 4*N@ N a-lica N a-lica N a-lica N a-lica 4 N@ N@ N@ *.N(S&g 592 592 9*24 2*4L 3*H2 3*H2 4*N@ 4*N@ 4*N@ T47A53 B1==463 P3= 1 K P1;1E=1@ T47A53 B1==463 53= 1 RACK C3A5;723 N a-lica N a-lica N a-lica N a-lica 2.@(S&g 2.@( S&g 9.@( %&g *.225(S&g

P7L97M3@ 9H@HF LI@ARA 9H@9F L32ARA 9H@9 LI@=RA 9H@9


M7641:3@

9; 9; 9; 9; 9; 4; 4P 9@ ; @4; 4*N@ L; 9@ P 32 ; 4L @4 ; 9**; 25@; 592 2M

9* &n!"a#a% a 92* Jca 2* &n!"a#a% a 92*Jca 9* &n!"a#a% a 24 Jc# 2* &n!"a#a % a 24 Jc# 9*4 :En!"a#a%F %ali#a%< 25@ :&n!"a#a% Q Sali#a%< 25@ 9*254 4*N@ 592 592 9*24 2*4L 3*H2 3*H2 4*N@ 4*N@ 4*N@

2( S&g 2 ( S&g 2 ( S&g 2( S&g L (S&g L(S&g 4.L( S&g 9(S&g *.225(S&g 2 (S&g 2( %&g 2( S&g 2( S&g 2 ( S&g 2(S&g 2(%&g 2(%&g 2(S&g

SLC 5** 9H5HFL* SLC 5*9 9H4HFL594 SLC5*2 9H4HFL524 SLC 5*3 9H4HFL532 SLC 5*4 9H4HFL543
G=1:67@

PLC 599 9HL5FLII= PLC5H2 9HL5FL2*= PLC 5H3* 9HL5FL4*= PLC 5H3* 9HL5FL3*= PLc 5H@* 9HL5FL@*= PLC5HL* 9HL5FLL*=
M9N G=1:67@

3 ( %&g 3 ( %&g *.25( S&g *.25( S&g *.25 (%&g *.25(S&g *.25(S&g *.25(S&g *.25(S&g

PLc 5H25* 525*FL-9= PLC 5H25* 525*FLP2= PLc 5H25* 525*FL-4

Pagina 22

La 0a(ilia #& PLCO@ 57L97M3@ c n ci# % c ()n(&n!& c ( la 0a(ilia Mic" l giE 9*** -" - "ci na c n!" la# "&% l2gic % -" g"a(a7l&% (5% c (-ac! % 6 &c n2(ic % E%!5n #i%- ni7l&% &n 9@ E GS :En!"a#a% 6 Sali#a%< 32 E GS &n 5 #i0&"&n!&% c n0ig$"aci n&% &lCc!"ica%. La% -ci n&% #& E GS 6 la% c n0ig$"aci n&% &lCc!"ica% l % 'ac&n i#&al&% -a"a ca%i c$al,$i&" a-licaci2n $n -$&"! #& c ($nicaci2n RSF232 C &% c n0ig$"a7l& -a"a &l -" ! c l D?I -a"a c n&Ei2n #i"&c!a a $n #i%- %i!i4 #& -" g"a(aci2n in!&"0a%& #& -&"a# ". La 0a(ilia #& PLCOS A7641:3@ c n ci# % c ()n(&n!& c ( la 0a(ilia SLC 5** 0"&c& $na a(-lia ga(a #& %&l&cci n&% &n ca-aci#a# #& (&( "ia. ca-aci#a# #& E GS. c n3$n! #& in%!"$cci n&% 6 -$&"! % #& c ($nicaci2n ,$& -&"(i!&n a#&c$a" $n %i%!&(a #& c n!" l #& a-licaci n&% 6 &n $na a(-lia ga(a. La 0a(ilia #& PLCO@ C=1:67@ c n ci# % !a(7iCn c ( la 0a(ilia #& c n!" la# "&% PLCO5. &%! % c n!" la# "&% !i&n&n l % (5% a4an/a# % -" c&%a# "&% #& $na % la "an$"a. l % c$al&% %& in%!alan &n la "an$"a i/,$i&"#a #& $n c'a%i% #& E GS #& la 0a(ilia 9HH9 L % -" c&%a# "&% c$&n!an c n -ci n&% #& c ($nicaci2n inc "- "a#a% ,$& l&% -&"(i!&n #&%a"" lla" $na a",$i!&c!$"a #& c n!" l #& ac$&"# a la a-licaci2n "&,$&"i#a. L % -" c&%a# "&% c$&n!an c n $n c n3$n! #& in%!"$cci n&% a4an/a#a% #& -" g"a(aci2n. La 0a(ilia #& PLCOS A9N C=1:67@ c n ci# % !a(7iCn c ( la 0a(ilia #& c n!" la# "&% PLCF5H25*. &l -" c&%a# " PLCF5G25* % &l (i&(7" (5% g"an#& #& la 0a(ilia PLCF5 #& c n!" la# "&% l2gic % -" g"a(a7l&%. C ( (i&(7" #&l %i%!&(a in!&g"a# " -i"a(i#al :P6"a(i# In!&g"a! "< #& All&nF="a#l&6. &%!& -" c&%a# " a4an/a# &% i#&al -a"a a-licaci n&% #& c n!" l in!&g"a# 6 -" c&%a(i&n! #& 7a%& #& #a! % ($6 g"an#&% #& in0 "(aci2n. En la 0ig$"a 2.L %& il$%!"a $n ci"c$i! ($6 %&ncill ,$& c n%i%!& &n $n in!&""$-! " c ( in%!"$(&n! #& ca(- #& &n!"a#a 6 %& !i&n& c ( &l&(&n! #& ca(- $n 0 c #& 99* Jac. Pa"a in!&"c n&c!a" &%! % # % &l&(&n! % %& $!ili/a $n %i%!&(a #& c n!" l :PLC< c n l % &l&(&n! % ,$& l c n0 "(an. E%!& &3&(-l c$7"& l % c nc&-! % (5% i(- "!an!&% 'a%!a a,$8 (&nci na# %.

En la 0ig$"a 2.L &%!5n l % &l&(&n! % n&c&%a"i % -a"a 0 "(a" &l %i%!&(a #& c n!" l #& $n PLC. c ( %& a-"&cia &n la 0ig$"a. &l &l&(&n! c&n!"al 6 (5% i(- "!an!& c ""&%- n#& al -" c&%a# " CPU. &% &n &%!a &l&c!"2nica # n#& &%!a al 3a# &l -" g"a(a #& c n!" l ,$& -$&#& %&" ( #i0ica# - " &l $%$a"i &n ca% #& "&,$&"i"%& !"a l2gica #& c n!" l. R&%$(i&n# . Y %ig$i&n# &l 0l$3 in#ica# - " la 0l&c'a% c$an# %& Pagina 23

ci&""a &l in!&""$-! " SRF9 %& &%!a &n4ian# la %&+al al MED :M2#$l #& En!"a#a% Di%c"&!a%< &l c$al c n4i&"!& &%!a %&+al #& 0$&"/a a $na %&+al #& c n!" l %i&n# &n4ia#a al -" c&%a# " CPU -a"a &%! &l -" c&%a# " 6a !i&n& #&n!" #& %$ (&( "ia $n -" g"a(a #& c n!" l ,$& c n!&(-la a &l in!&""$-! " 6 SRF 9 6 a la LAMPARA. En &l (i%( -" g"a(a %& &3&c$!a"an la% "#&n&% ,$& 6a !i&n&n -"&4ia(&n!& -" g"a(a#a%. 7&#&ci&n# ,$& c$an# &l in!&""$-! " SRF9 %& ci&""& &l -" g"a(a !i&n& la "#&n #& &n4ia" $na %&+al #& c n!" l 'acia &l MSD :(2#$l #& %ali#a% #i%c"&!a%< -a"a ,$& &%!a la c n4i&"!a &n $na %&+al #& 0$&"/a !&"(inan# - " &nc&n#&" la LAMAPARA c ( %& in#ica &n la 0ig$"a #& a""i7a.

Pagina 24

TEORIA DE LOS CONTROLADORES PROGRAMABLES DE?INICION Un c n!" la# " -" g"a(a7l&. 0 "(al(&n!& lla(a# c n!" la# " l2gic -" g"a(a7l& PLC. -$&#& %&" #&0ini# c ( $n #i%- %i!i4 #& &%!a# %2li# . (i&(7" #& la 0a(ilia #& la% c (-$!a# "a%. E% ca-a/ #& al(ac&na" in%!"$cci n&% -a"a i(-l&(&n!a" 0$nci n&% #& c n!" l !al&% c ( %&c$&nciaci2n. !&(- "i/aci2n. c n!& . a"i!(C!ica. (ani-$laci2n #& #a! % 6 c ($nicaci2n. -a"a (a,$ina% 6 -" c&% % in#$%!"ial&%. El %ig$i&n!& #i7$3 il$%!"a &l c nc&-! #& la a-licaci2n #&l PLC.

MAUUINA O PROCESO

CONTROLADOR PROGRAMA=LE
?ig$"a 9

Pagina 25

Figura No. 1: Diagrama conceptual de una aplicacin de PLC.

M$c'a% #&0inici n&% -$&#&n %&" $!ili/a#a% -a"a #&%c"i7i" $n c n!" la# " -" g"a(a7l&. %in &(7a"g $n PLC -$&#& %&" #&%c"i! &n !C"(in % %i(-l&% c ( $na c (-$!a# "a in#$%!"ial. la c$al !i&n& $na a",$i!&c!$"a #& #i%&+ &n # % -a"!&%. $ni#a# c&n!"al :El PLC -" -ia(&n!&< 6 l % ci"c$i! % #& in!&"0ac&% c n l % #i%- %i!i4 % #& ca(- :C n&Ei n&% #& En!"a#a% GSali#a% al ($n# "&al<. C ( - #"5 4&" a !"a4C% #& &%!& li7" . $n PLC &% $n c n!" la# " in#$%!"ial na!$"al. ,$& !i&n& %$% "a8c&% #& $!ili/aci2n 6 #i%&+ . 7a%a# &n la c n%i#&"aci2n #&l &n!&n#i(i&n! 6 a-licaci n&% -"ac!ica%. ?ONDO 1ISTORICO El c"i!&"i #& #i%&+ -a"a &l -"i(&" c n!" la# " -" g"a(a7l& 0$& &%-&ci0ica# &n 9N@L - " la #i4i%i2n 16#"a(a!ic #& la c "- "aci2n G&n&"al M ! "%. La "a/2n -"inci-al 0$& la &li(inaci2n #& l % al! % c %! % a% cia# % c n la in0l&Ei7ili#a# #& l % %i%!&(a% #& c n!" l 7a%a# % &n "&l&4a# "&%. La% &%-&ci0icaci n&% "&,$&"8an $n %i%!&(a #& &%!a# %2li# c n la 0l&Ei7ili#a# #& $na c (-$!a# "a 6 la 'a7ili!aci2n -a"a % 7"&4i4i" &n $n a(7i&n!& in#$%!"ial.. %&" #& -" g"a(aci2n 05cil 6 (an!&ni(i&n! - " !Ccnic % & ing&ni&" % #& -lan!a. E%!& %i%!&(a #& c n!" l #&7&"5 "&#$ci" &l !i&(- #& -a" #& (a,$ina 6 -" - "ci na" &E-an#i7ili#a# -a"a &l 0$!$" .

PRINCIPIOS DE OPERACION Un c n!" la# " -" g"a(a7l& &%!5 c (-$&%! -"inci-al(&n!& - " # % %&cci n&% 75%ica%D la Uni#a# C&n!"al #& P" c&% :CPU< 6 &l %i%!&(a #& in!&"0ac&% #& &n!"a#a% G %ali#a% :IGO<. E%!a% %&cci n&% &%!5n il$%!"a#a% &n la %ig$i&n!& 0ig$"a.

Pagina 2@

E N T R A D A S

UNIDAD CENTRAL DE PROCESO

S A L I D A S

?ig$"a 2

Pagina 2H

Figura No. 2: Diagrama de bloques de un controlador programable.

La %&cci2n #&l CPU &%!a 0 "(a#a - " !"&% c (- n&n!&%D &l -" c&%a# ". la (&( "ia #&l %i%!&(a 6 la 0$&n!& #& - !&ncia. La 0ig$"a 3 ($&%!"a la% !"&% %&cci n&% #&l CPU.

PROCESADOR

MEMORIA

?UENTE
Pagina 2L
?ig$"a 3

Figura No. 3 Diagrama de Bloques de los componentes ma ores de CP!.

La -&"aci2n #& $n c n!" la# " -" g"a(a7l& &% "&la!i4a(&n!& %i(-l&. P"i(&" . n !& ,$& &l %i%!&(a #& &n!"a#a G%ali#a &%!a 08%ica(&n!& c n&c!a# a l % #i%- %i!i4 % #& ca(- &nc n!"a# &n $na (a,$ina l % $!ili/a# % &n &l c n!" l #& $n -" c&% . E%! % #i%- %i!i4 % #& ca(- -$&#&n %&" in!&""$-! "&% #& li(i!&. !"an%#$c! "&% #& -"&%i2n. 7 ! n&% #& -"&%i na". a""anca# "&% #& ( ! "&%. % l&n i#&%. &!c. La in!&"0ac& IGO -" 4&& la% c n&Ei n&% &n!"& &l CPU 6 la in0 "(aci2n -" - "ci na#a :En!"a#a%< 6 l % #i%- %i!i4 % c n!" la# % :Sali#a%<. D$"an!& la -&"aci2n. &l CPU l&& ac&-!a #a! % #& &n!"a#a &%!a# % #& l % #i%- %i!i4 % #& ca(- . a !"a4C% #& la in!&"0ac& #& &n!"a#a. &3&c$!a &l -" g"a(a #& c n!" l al(ac&na# &n la (&( "ia #&l %i%!&(a. 6 &%c"i7& ac!$ali/a l % #i%- %i!i4 % #& %ali#a. a !"a4C% #& la in!&"0ac& #& %ali#a. E%!& -" c&% %&c$&ncial #& l&c!$"a #& &n!"a#a%. &3&c$ci2n #&l -" g"a(a &n (&( "ia. 6 ac!$ali/aci2n #& %ali#a% &% c n ci# c ( &%can& . El %i%!&(a #& &n!"a#a G%ali#a 0 "(a la in!&"0ac& - " la c$al l % #i%- %i!i4 % #& ca(- % n c n&c!a# % al c n!" la# ". El -" -2%i! -"inci-al #& la in!&"0ac& &% &l ac n#ici na(i&n! #& 4a"ia% %&nil&% "&ci7i#a% Pagina 2N

#&%#& -$&%!a% a $n #i%- %i!i4 #& ca(- &E!&"n . La% %&nil&% &n!"an!&% #&%#& %&n% "&% !al&% c ( 7 ! n&% #& -"&%i na". in!&""$-! "&% #& li(i!&. %&n% "&% anal2gic %. in!&""$-! "&% %&l&c! "&%. 6 in!&""$-! "&% #& "$&#a #igi!al % n ca7l&a# % a la% !&"(inal&% &n la in!&"0ac& #& &n!"a#a. L % #i%- %i!i4 % ,$& % n c n!" la# %. c ( a""anca# "&% #& ( ! "&%. 45l4$la% % l&n i#&%. l$c&% -il ! 6 45l4$la% #& - %ici2n. % n c n&c!a#a% a la% !&"(inal&% #& la in!&"0ac& #& %ali#a. El %i%!&(a #& 0$&n!& #& - !&ncia -" - "ci na ! # &l 4 l!a3& "&,$&"i# -a"a la -&"aci2n a-" -ia#a #& la% 4a"ia% %&cci n&% #&l CPU. A$n,$& g&n&"al(&n!& n &% c n%i#&"a# $na -a"!& #&l c n!" la# ". &l #i%- %i!i4 #& -" g"a(aci2n &% "&,$&"i# -a"a ca"ga" &l -" g"a(a #& c n!" l &n (&( "ia :J&" ?ig$"a 4<. El #i%- %i!i4 #& -" g"a(aci2n #&7&"5 &%!a" c n&c!a# al c n!" la# " % la(&n!& c$an# &%!& ca"gan# ( ni! "&an# &l -" g"a(a. Un CRT :T$7 #& Ra6 % Ca!2#ic %< &% c ()n(&n!& $!ili/a# -a"a &n!"a" al -" g"a(a 6 ( %!"a"l . -&" al!&"na!i4a(&n!& &,$i- % c ( $na c (-$!a# "a -&"% nal -$&#&n %&" !a(7iCn $!ili/a# %.

COMPUTADORA PERSONAL
?ig$"a 4

Pagina 3*

Figura ": Computadora personal tipo Note Boo#

DIAGRAMAS DE ESCALERA Y LOS PLCWS L % #iag"a(a% #& &%cal&"a 'an %i# 6 l %&"5n 'a%!a $n ci&"! ( (&n! . la 48a !"a#ici nal #& "&-"&%&n!aci2n -a"a la% -&"aci n&% #& %&c$&ncia% &lCc!"ica%. E%! % #iag"a(a% % n $!ili/a# % -a"a "&-"&%&n!a" la in!&"c n&Ei2n #& l % #i%- %i!i4 % #& ca(- &n %&(&3an/a a $na 48a #& ac!i4aci2n #& &nc&n#i# . #& $n #i%- %i!i4 #& ca(- ,$& &nc&n#&"8a a !" #& ac$&"# a $na %&c$&ncia -"&#&!&"(ina#a #& &4&n! %. La 0ig$"a 5 il$%!"a $n #iag"a(a &lCc!"ic #& &%cal&"a %i(-l&. L % #iag"a(a% #& &%cal&"a "iginal&%. &"an c n%!"$i# % -a"a "&-"&%&n!a" &l ca7l&a# #& ci"c$i! % l2gic % $!ili/a# % &n &l c n!" l #& $na (a,$ina &,$i- . D&7i# a %$ a(-lia $!ili/aci2n &n la in#$%!"ia. ll&g a %&" $na n "(a -a"a -" - "ci na" in0 "(aci2n #& #i%&+ a l % $%$a"i % #&l &,$i- . C$an# l % c n!" la# "&% -" g"a(a7l&% 0$&" n in!" #$ci# %. &%!& !i- #& "&-"&%&n!aci2n #& ci"c$i! % 0$& !a(7iCn #&%&a7l&. n % la(&n!& -a"a 0acili!a" &l $% & in!&"-"&!aci2n %in !a(7iCn -a"a ,$& 0$&"a a(-lia(&n!& ac&-!a# &n la in#$%!"ia.

Pagina 39

L9 P=9 LS9 PL

L2

LS2

?ig$"a 5

Pagina 32

Figura $: Diagrama de escaleras el%ctrico &imple

El c n!" la# " -" g"a(a7l& -$&#& i(-l&(&n!a" ! #a% la% c n#ici n&% #& l % #iag"a(a% #& &%cal&"a A4i&3 %B 6 ($c' (a%. La i#&a &% -!i(i/a" la -&"aci2n #&l %i%!&(a #& c n!" l #& $na (an&"a (a% 0ia7l& 6 a 7a3 c %! . Al i(-l&(&n!a" &l PLC &n &%!& CPU. &l 'a"#Xa"& #& in!&"c n&Ei2n &% %i(ila" al ca7l&a# . $!ili/an# la% in%!"$cci n&% #& -" g"a(aci2n #&l PLC. E%! %& l g"a - " la $!ili/aci2n #& l % 0a(ilia"&% #iag"a(a% #& &%cal&"a -&" #& $na (an&"a !"an%-a"&n!& al ing&ni&" -" g"a(a# ". C ( - #"5 4&" a !"a4C% #& &%!& li7" . &l c n ci(i&n! #& la -&"aci2n #&l PLC. &%can& 6 -" g"a(aci2n #& in%!"$cci n&% &% 4i!al -a"a la ENTRADAS PLC SALIDAS i(-l&(&n!aci2n a-" -ia#a #& $n %i%!&(a #& c n!" l. L9 L2 L9 L2 La 0ig$"a @ il$%!"a la% !"an%0 "(aci2n a $n PLC #&l #iag"a(a ( %!"a# &n la 0ig$"a5. #i%- %i!i4 % #& ca(&%!5n c n&c!a# % a la &n!"a#a. (i&n!"a% ,$& #& &%cal&"a% &% #& $na (an&"a %i(ila" 'a"#Xa"& < #&n!" #&l -" g"a(a7l&. C ( %& (&nci n . &l CPU #& la% &n!"a#a%. &nci&n#& l % &l&(&n! % c ""&%- n#i&n!&% #& -" g"a(a. 6 c n!" la #i%- %i!i4 #& %ali#a #& la in!&"0ac& #&

P=9

P=9

LS9

PL

PL

LS9 LS2

LS2

c n&Ei n&% 0 "(a! #& %i(-l& N !& ,$& l % #& IGO "&al&% in!&"0ac& #& &l -" g"a(a i(-l&(&n!a# :c ( c n!" la# " -"&4ia(&n!& l&&"5 &l &%!a# &n&"gi/a #& ci"c$i! ac$&"# al $na "&al a !"a4C% %ali#a%.

REPRESENTA ENTRADA AL MODULO

REPRESENTA SALIDA DEL MODULO

Pagina 33

?ig$"a @

Figura ': (mplementacin del diagrama de la )igura $* en el PLC.

Pagina 34

SISTEMAS DE NUMERACION Y CODIGOS INTRODUCCION Una 0a(ilia"i#a# c n l % %i%!&(a% #& n$(&"aci2n -" 7a"5 %&" 7a%!an!& -" 4&c' %a c$an# !"a7a3a( % c n c n!" la# "&% -" g"a(a7l&% c$al,$i&" c (-$!a# "a #igi!al. E%! &% 4&"#a#&"a(&n!& $n "&,$i%i! 75%ic #&%#& ,$& &%! % #i%- %i!i4 % "&-"&%&n!an. g$a"#an 6 -&"an c n n)(&" %. -a"a &3&c$!a" la (5% %&ncilla #& la% -&"aci n&%. En g&n&"al. l % PLC% !"a7a3an c n n)(&" % 7ina"i %. &n $na 0 "(a $ !"a -a"a "&-"&%&n!a" 4a"i % c2#ig % can!i#a#&%. A$n,$& la% -&"aci n&% c n n)(&" % % n !"an%-a"&n!& -a"a la (a6 "8a 6 #& i(- "!ancia -&,$&+a. 'a7"5 ca%i2n #& $!ili/a" n$&%!" % c n ci(i&n! % #& %i%!&(a% #& n$(&"aci2n. P"i(&" "&-a%&( % l % 75%ic %. La% #&cla"aci n&% %ig$i&n!&% a-lica"5n a c$al,$i&"a %i%!&(a #& n$(&"aci2nD Ca#a %i%!&(a !i&n& $na 7a%& "a8/. Ca#a %i%!&(a %& -$&#& $!ili/a" -a"a c n!a". Ca#a %i%!&(a %& -$&#& $!ili/a" -a"a "&-"&%&n!a" can!i#a#&% c #i0icaci n&%. Ca#a %i%!&(a !i&n& $n 3$&g #& %8(7 l %. La 7a%& #& $n %i%!&(a #& n$(&"aci2n #&!&"(ina &l n)(&" ! !al #& %8(7 l % )nic % $!ili/a# % - " &%!& %i%!&(a. El %8(7 l #& 4al " (a% g"an#& %i&(-"& !i&n& $n 4al " #& $n (&n % ,$& la 7a%&. La 7a%& #&0in& &l n)(&" #& %8(7 l %. &% - %i7l& !&n&" $n %i%!&(a #& n$(&"aci2n #& c$al,$i&"a 7a%&. D& c$al,$i&" ( # . l % %i%!&(a% #& n$(&"aci2n % n !8-ica(&n!& %&l&cci na# % - " %$ c n4&ni&ncia. L % %i%!&(a% #& n$(&"aci2n $%$al(&n!& &nc n!"a# % &n la $!ili/aci2n #& c n!" la# "&% -" g"a(a7l&% % n #& 7a%& 2. 7a%& L. 7a%& 9* 6 7a%& 9@. E%! % %i%!&(a% &%!5n !a(7iCn &!i,$&!a# % c ( 7ina"i . c!al. #&ci(al 6 '&Ea#&ci(al "&%-&c!i4a(&n!&. Pa"a #&( %!"a" la% ca"ac!&"8%!ica% c ($n&% #& l % %i%!&(a% #& n$(&"aci2n. n % -&"(i!i"&( % -"i(&" #a"l& $n "&-a% al %i%!&(a #&ci(al ,$& n % &% 0a(ilia". SISTEMAS DE NUMERACION
DECIMAL

En &l %i%!&(a #& n)(&" % #&ci(al&% %& !i&n&n #i&/ %8(7 l %D *. 9. 2. 3. 4. 5. @. H. L 6 N. Ma% all5 #&l N %& a%ignan %igni0ica# % a la - %ici2n #&l %8(7 l . El n$(&" 92@4 "&-"&%&n!a 4 $n % K @ #i&%&% K 2 ci&n! % K 9 (illa". La -"i(&"a c l$(na #& la #&"&c'a "&-"&%&n!a $ni#a#&% :9**<.la %ig$i&n!& #&c&na% :9*9<. la !"a c&n!&na% :9*2< 6 la )l!i(a (illa"&% :9*3<.La% c l$(na% %& &4al)an #& ac$&"# c n - !&ncia% c"&ci&n!&% #& 9*. &l ,$& "&ci7& &l n (7"& #& #ase o ra$z #&l %i%!&(a n$(C"ic . N2!&%& ,$& la 7a%& &% ig$al al n)(&" #& %8(7 l %. Pa"a &E-"&%a" l % n)(&" % (5% -&,$&+ % ,$& 9 %& &(-l&an - !&ncia% n&ga!i4a% #& la 7a%&. P " &n#&. *.32 %& "&-"&%&n!a c ( 3 X 9*F9 K 2 X 9*F2. El -$n! #&ci(al %& lla(a g&n&"al(&n!& !unto de #ase o ra$z.
P %ici2n 3 N)(&" N 2 L 9 H * @ 9* @ E 9** Y
9 9Y H E 9*9

@ H*

L E 9*2 Y L** N E 9*3 Y N*** NLH@9* ?ig$"a H

Pagina 35

Figura +: ,-emplo de arquitectura de n.mero decimal.

P %ici2n n
N)(&"

. .

. .

3 N

2 L

9 H

* @ =a%& Y 7

Zn

Z * E 7* Y Z 9 E 79 Y Z 2 E 72 Y Z 3 E 73 Y . . . .

N* N9 N2 N3 . . Nn

Z n E 7n Y ?ig$"a L

Pagina 3@

Figura /: ,-emplo de arquitectura con cualquier base. BINARIO

1a6 !" % %i%!&(a% n$(C"ic % #i%!in! % al #&ci(al ,$& %& &%!"$c!$"an #&l (i%( ( # . &(-l&an# 7a%&% #i0&"&n!&% #& 9*. El %i%!&(a n$(C"ic 7ina"i &% $n %i%!&(a #& 7a%& 2. En &%!& ca% %& $!ili/an # % %8(7 l %. * 6 9. La% c l$(na% %& 4al "an &n - !&ncia% #& 2. P " &n#&. 99*9 ,$& !i&n& c l$(na% 4al "a#a% &n L. 4. 2. 9. "&-"&%&n!a L K 4 K * K 9 Y 93 &n &l %i%!&(a #&ci(al. La% 7a%&% %& "&-"&%&n!an c n %$78n#ic&%. #& (an&"a ,$& %& &%c"i7& :99*9<2 Y :93<9*. C n 0"&c$&ncia. la 7a%& &% (5% 7i&n i(-l8ci!a 6 n n&c&%a"ia(&n!& %& &%c"i7& &n 0 "(a &E-l8ci!a. EEi%!& $na "&laci2n 8n!i(a &n!"& &l %i%!&(a n$(C"ic 7ina"i 6 la l2gica #igi!al c n # % &%!a# % -&"(i!i# %. L % #i%&+a# "&% #& l2gica #igi!al &(-l&an &l %i%!&(a 7ina"i c n ($c'a 0"&c$&ncia. La %ig$i&n!& !a7la c n%i%!& &n $na li%!a #& - !&ncia% #& 2.

H 9

@ *

5 9

4 9

3 *

2 9

9 9

* * 2 * E 2* Y 9 E 29 Y 9 E 22 Y * E 23 Y 9 E 24 Y 9 E 25 Y * E 2@ Y 9 E 2H Y * 2 4 * 9@ 32 * 92L 9L29*

?ig$"a N

Pagina 3H

Figura 0: ,qui1alente 12112112 binario a 1/2 decimal

Ca#a #8gi! #& $n n)(&" 7ina"i &% c n ci# c ( $n A7i!B. &n &l ca% -a"!ic$la" #&l n)(&" 7ina"i 9*99*99* :9L2 #&ci(al< !i&n& L 7i!% - %ici n&%. Un g"$- #& 4 7i!% &% c n ci# c ( $n ni77l&. $%$al(&n!& $n g"$- #& L 7i!% &% $n =6!&. 6 $n g"$#& $n (a% =6!&% &% $na -ala7"a. La %ig$i&n!& 0ig$"a -"&%&n!a $n n$(&" 7ina"i c (-$&%! #& 9@ 7i!%. c n &l 7i! (a% 6 (&n % %igni0ica!i4 .

Pagina 3L

=i! (a% %igni0ica!i4 :M=S<

=i!

=i! (&n % %igni0ica!i4 :LS=<

9 * 9 9 9 * * 9 * * 9 9 * 9 * 9

=6!&

=6!&

Pala7"a Una -ala7"a. # % 76!&%. #i&ci%&i% 7i!% ?ig$"a 9*

Figura 11: !na palabra* dos b tes* diecis%is bits OCTAL

El %i%!&(a n$(C"ic c!al !i&n& la 7a%& L. L % %8(7 l % % n * al H. 6 la% c l$(na% %& &4al)an &n - !&ncia% #& L. P " c n%ig$i&n!&. :923<L Y 9 E @4 K 2 E L K 3 E 9 Y :L3<9*. ; F@ F5 F4 F3 2; *.*95@2 5 *.*3925 *.*@25 *.925 ; H L N 9* 2; 92L 25@ 592 9*24 Pagina 3N

F2 F9 * 9 2 3 4 5 @

*.25 *.5 9 2 4 L 9@ 32 @4

99 92 93 94 95 9@ 9H 9L 9N 2*

2*4L 4*N@ L9N2 9@3L4 32H@L @553@ 939*H2 2@2944 5242LL 9*4L5H @

!E,ADECIMAL

El %i%!&(a n$(C"ic '&Ea#&ci(al !i&n& 7a%& 9@. L % #i&ci%Ci% %8(7 l % % n * al N. A. =. C. D. E 6 ?. 6 la% c l$(na% %& 4al "an &n - !&ncia% #& 9@. P " &n#&. :923<9@ Y 9 E 25@ K 2 E 9@ K 3 E 9 Y :2N9<9* 6 :C4<9@ Y 92 E 9@ K 4 E 9 Y :9N@<9*. En la %ig$i&n!& !a7la %& -" - "ci nan l % &,$i4al&n!&% 7ina"i . c!al 6 '&Ea#&ci(al #& alg$n % n)(&" % #&ci(al&%. En la 0ig$"a H %& -"&%&n!an &4al$aci n&% #& c l$(na incl$6&n# -a"!&% 0"acci na"ia% &n l % %i%!&(a% n$(C"ic % ,$& %& aca7an #& &E-lica".

Equi-alentes decimales, binarios, octales y "e.adecimales Sist Decim Binario Octal Hexadeci . al mal /al 0, 0 1+ 02 3 4 + 0 24 3 0 +) 02 0 or 2 , , , , 0 0 0 0 + 0 , + + 1 0 0 1 1 4 0 , , 4 4 ) 0 , 0 ) ) 2 0 0 , 2 2 5 0 0 0 5 5 3 0 , , , 0 , 3 6 0 , , 0 0 0 6 0 , 0 , 0 , 0 + A 0 0 0 , 0 0 0 1 B 0 + 0 0 , , 0 4 ' 0 1 0 0 , 0 0 ) 7 0 4 0 0 0 , 0 2 E 0 ) 0 0 0 0 0 5 8 0 2 0 , , , , + , 0 , 0 5 0 , , , 0 + 0 0 0 0 3 0 , , 0 , + + 0 + 0 6 0 , , 0 0 + 1 0 1 + , 0 , 0 , , + 4 0 4 + 0 0 , 0 , 0 + ) 0 ) + + 0 , 0 0 , + 2 0 2 + 1 0 , 0 0 0 + 5 0 5 Pagina 4*

+ + + + + + 1 1 1 1 1 1 1 1 1 1 4 4 4 4 4 4 4 4 4 4 ) )

4 ) 2 5 3 6 , 0 + 1 4 ) 2 5 3 6 , 0 + 1 4 ) 2 5 3 6 , 0

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

0 0 0 0 0 0 0 0 , , , , , , , , , , , , , , , , 0 0 0 0

0 0 0 0 0 0 0 0 , , , , , , , , 0 0 0 0 0 0 0 0 , , , ,

, , , , 0 0 0 0 , , , , 0 0 0 0 , , , , 0 0 0 0 , , , ,

, , 0 0 , , 0 0 , , 0 0 , , 0 0 , , 0 0 , , 0 0 , , 0 0

, 0 , 0 , 0 , 0 , 0 , 0 , 0 , 0 , 0 , 0 , 0 , 0 , 0 , 0

1 1 1 1 1 1 1 1 4 4 4 4 4 4 4 4 ) ) ) ) ) ) ) ) 2 2 2 2

, 0 + 1 4 ) 2 5 , 0 + 1 4 ) 2 5 , 0 + 1 4 ) 2 5 , 0 + 1

0 0 0 0 0 0 0 0 + + + + + + + + + + + + + + + + 1 1 1 1

3 6 A B ' 7 E 8 , 0 + 1 4 ) 2 5 3 6 A B ' 7 E 8 , 0 + 1

Pagina 49

9***

9**

9*

9 9*

9 9**

9 9***

Si%!&(a n$(C"ic #&ci(al L 4 2 9 9 9 9 Y*.5 Y*.25 Y*.925 9 2 4 L 9@ Y*.*@25

Si%!&(a n)(&"ic 7ina"i 592 @4 L 9 9 9 9 Y*.925 Y*.*95@25 Y*.**9N53925 L @4 592

Si%!&(a n)(&"ic

c!al 9 Y*.**3N*@25 25@ 9 9 Y*.*@25 Y*.***24494*@2 9@ 4*N@

4*N@

25@

9@

Si%!&(a n)(&"ic '&Ea#&ci(al ?ig$"a 99

Pagina 42

Figura 11: ,1aluacin de columna en cuatro sistemas num%ricos

CONJERSION DE NUMEROS En la %&cci2n -"&4ia 4i( % c2( $n n$(&" #& c$al,$i&" 7a%& %& -$&#& c n4&"!i" a n$&%!" 0a(ilia" %i%!&(a #&ci(al $!ili/an# &l (C! # #& la %$(a #& l % -&% %. En &%!a %&cci2n ( %!"a( % c2( %& -$&#& c n4&"!i" $n n)(&" #&ci(al a 7ina"i . c!al c$al,$i&" %i%!&(a #& n$(&"aci2n. Pa"a c n4&"!i" $n n)(&" #&ci(al a %$ &,$i4al&n!& &n c$al,$i&" 7a%&. %& #&7&n #& "&ali/a" $na %&"i& #& #i4i%i n&% &n!"& la 7a%& #&%&a#a. La 7a%& #& $n %i%!&(a #& n$(&"aci2n #&!&"(ina &l n)(&" ! !al #& %8(7 l % )nic % $!ili/a# % - " &%!& %i%!&(a. El %8(7 l #& 4al " (a% g"an#& %i&(-"& !i&n& $n 4al " #& $n (&n % ,$& la 7a%&. La 7a%& #&0in& &l n)(&" #& %8(7 l %. &% - %i7l& !&n&" $n %i%!&(a #& n$(&"aci2n #& c$al,$i&"a 7a%&. D& c$al,$i&" ( # . l % %i%!&(a% #& n$(&"aci2n % n !8-ica(&n!& %&l&cci na# % - " %$ c n4&ni&ncia. L % %i%!&(a% #& n$(&"aci2n c ()n(&n!& $!ili/a# % &n l % c n!" la# "&% -" g"a(a7l&% % n #& 7a%& 2. 7a%& L. 7a%& 9* 6 7a%& 9@. E%! % %i%!&(a% &%!5n !a(7iCn &!i,$&!a# % c ( 7ina"i . c!al. #&ci(al 6 '&Ea#&ci(al "&%-&c!i4a(&n!&. En la %&cci2n -"&4ia 4i( % c2( $n n$(&" #& c$al,$i&" 7a%& %& -$&#& c n4&"!i" a n$&%!" 0a(ilia" %i%!&(a #&ci(al $!ili/an# &l (C! # #& la %$(a #& l % -&% %. En &%!a %&cci2n ( %!"a( % c2( %& -$&#& c n4&"!i" $n n)(&" #&ci(al a 7ina"i . c!al c$al,$i&" %i%!&(a #& n$(&"aci2n. Pa"a c n4&"!i" $n n)(&" #&ci(al a %$ &,$i4al&n!& &n c$al,$i&" 7a%&. #&7&n #& &3&c$!a"%& $na %&"i& #& #i4i%i n&% &n!"& la 7a%& #&%&a#a. El -" c&% #& c n4&"%i2n c (i&n/a - " #i4i#i" &l n)(&" #&ci(al &n!"& la 7a%&I &n ca% ,$& 'alla $n "&(an&n!&. %& c l ca &n &l l$ga" #&l #8gi! (&n % %igni0ica!i4 #&l n)(&" #& la 7a%& n$&4a. En ca% ,$& n '$7i&%& "&(an&n!&. %& c l ca $n *:C&" < &n la %ig$i&n!& - %ici2n :#& #&"&c'a a i/,$i&"#a<. S& 7a3a &l "&%$l!a# . 6 &l -" c&% %& "&-i!& 'a%!a ,$& &l "&%$l!a# 0inal #& la% #i4i%i n&% %$c&%i4a% %&a *. El (C! # $%a# - #"8a %&" $n - c -&%a# I %in &(7a"g . &%!& (C! # "&%$l!a &l (5% 05cil #& c (-"&n#&" 6 &(-l&a". C ( $n &3&(-l g&nC"ic :"&0iC"a%& a la 0ig$"a<. ! (a( % &l n)(&" [Z[ 6 l& &nc n!"a( % &l &,$i4al&n!& a la 7a%& 5. La -"i(&"a #i4i%i2n :Z G 5< #a $n "&%$l!a# N 6 $n "&(an&n!& R9. El "&(an&n!& R9 'ac& &l -"i(&" #8gi! #&l n$(&" #& 7a%& 5. Pa"a 7!&n&" la %ig$i&n!& n$(&" #& 7a%& 5. &l "&%$l!a# N. %& #i4i#& !"a 4&/ &n!"& 5. #a &l "&%$l!a# N2 6 $n "&(an&n!& R2 ,$& ll&ga a %&" &l %&g$n# #8gi! #&l n$(&" #& 7a%& 5. S& "&-i!& &%!& -" c&% 'a%!a ,$& &l "&%$l!a# #& la #i4i%i2n %&a * :N*G 5< #an# &l $l!i( "&(an&n!& R*. &l c$al 4i&n& a %&" &l #8gi! (5% %igni0ica!i4 #&l n$(&" #& 7a%& 5. DIJISIONES Z 5 Y N9 REMANENTE R9 Pagina 43

N9 5 Y N2

N2 5 Y N3 N3 5 Y N4 . . . Nn 5 Y *

R2 R3 R4

Rn

El n$&4 n)(&" #& 7a%& 5 &% :Rn...R4 R3 R2 R9<5 R&-"&%&n!aci2n #& la c n4&"%i2n #& $n n$(&" Z &n %$ n$(&" &,$i4al&n!& #& 7a%& 5

A' "a c n4&"!i"&( % &l n)(&" 359* al &,$i4al&n!& 7ina"i :7a%& 2< $!ili/an# la% "&gla% an!&"i "&%. DIJISIONES 35 2 Y 9H 9H 2 Y L L2Y 4 42Y 2 22Y 9 . REMANENTE 9 9 * * *

92Y 9 * P " l !an! . &l &,$i4al&n!& &n 7a%& 2 #&l n)(&" 359* #&7&"5 %&" 9***99.

C ( $n %&g$n# &3&"cici c n4i&"!a &l n$(&" 93559* a '&Ea#&ci(al :7a%& 9@<. DIJISIONE S 9355 9@ Y L4 REMANENT E 99 L4 9@ Y 5 5 9@ Y * 4 5

El &,$i4al&n!& '&Ea#&ci(al #& 93559* #&7& %&" 54=9@ :El "&(an&n!& 99 &% "&-"&%&n!an# c ( = &n '&Ea#&ci(al<. Pagina 44

1a6 !"a% 48a% -a"a &nc n!"a" $n n)(&" 7ina"i #&%#& &l &,$i4al&n!& #&ci(al &l c$al #&7&n %&" &n!&n#i#a%. &%!& (C! # &(-l&a la #i4i%i2n - " g"$- % #& c' -a"a c n4&"!i" &l n)(&" a c!al -"i(&" 6 &n! nc&% #&%#& &l c!al :T"&% 7i!% a la 4&/. D&%#& &%!5 in%!ancia ! (&( % &l n)(&" 9459*

REMANENTE 9 2 9L L Y 2 2 92 L Y * El &,$i4al&n!& c!al #& 9459* &% 229L #&%#& la !a7la an!&"i " -$&#& 4&" ,$& 229L &% *9**9***92

DIJISION 945 L Y 9L

*9* *9* **9


COMPLEMENTO A UNO

C (-l&(&n! % 9 6 2 #& $n n)(&" 7ina"i &% $na -&"aci2n $!ili/a#a - " c n!" la# "&% -" g"a(a7l&%. !an! c ( c (-$!a# "a% -a"a c5lc$l % (a!&(5!ic % in!&"n % -a"a (&3 "a" &l "&n#i(i&n! #& l % c5lc$l % (a!&(5!ic % in!&"n % -a"a c (-l&(&n!a" $n n)(&" 7ina"i &% ca(7ia"l a $n n)(&" n&ga!i4 . E%! 0acili!a la% -&"aci n&% a"i!(C!ica% 75%ica% #& %$%!"acci2n ($l!i-licaci2n. 6 #i4i%i2n -a"a 'ac&"l (&3 " a !"a4C% #& %$c&%i4a% %$(a%. P " &3&(-l . la "&%!a #&l n)(&" 2* #& 4* &% "&ali/a#a -"i(&" c (-l&(&n!an# 2* -a"a 7!&n&" (&n % 2* 6 &n! nc&% "&ali/a" la %$(a. la in!&nci2n la in!" #$cci2n #& c nc&-! % 75%ic % #& c (-l&(&n!aci2n ,$& n % -" - "ci nan $n an5li%i% #& -&"aci n&% a"i!(C!ica%. a%$(i"&( % ,$& !&n&( % $n n)(&" 7ina"i #&5 7i!% ,$& ,$&"&( % "&-"&%&n!a" - " $n n)(&" n&ga!i4 . El n)(&" &% 23 #&ci(al. : 9*999<2 1a6 # % ca(in % -a"a "&-"&%&n!a" &%!& n)(&" c ( $n n)(&" n&ga!i4 . El -"i(&" (C! # &% %i(-l&(&n!& c l ca" &l %ign #& (&n % &n &l 0"&n!& #&l n)(&" c ( c n l % n)(&" #&ci(al&%. F:9*999<2 E%!& (C! # &% $!ili/a7l&% - " n % !" %. -&" i(- %i7l& -a"a l % c n!" la# "&% -" g"a(a7l&% la% c (-$!a# "a%. "&c "#&( % ,$& % la(&n!& - #&( % $!ili/a" l % %8(7 l % 9 6 *. En! nc&% -a"a "&-"&%&n!a" n)(&" n&ga!i4 %. l % #i%- %i!i4 % #& c (-$!aci2n #igi!al $!ili/an alg ,$& &% c n ci# c ( &l (C! # #& c (-l&(&n! . P"i(&" . El (C! # #& c (-l&(&n! c l ca $n 7i! &E!"a :7i! #& %ign < &n la - %ici2n (5% %igni0ica!i4a : (5% ala i/,$i&"#a <. 6 &n! nc&% &%!& 7i! #&!&"(ina %i &l n)(&" &% - %i!i4 n&ga!i4 . El n)(&" &% - %i!i4 %i &l 7i! &% %ign #& * 6 n&ga!i4 %i &l 7i! #& %ign &% 9. U%an# &l (C! # #& c (-l&(&n! . K23 #&7& %&" "&-"&%&n!a# c ( %& ($&%!"a a,$8. *9*9992 Pagina 45

La "&-"&%&n!aci2n n&ga!i4a #&l 7ina"i 23 $!ili/an# &l (C! # #& c (-l&(&n! a $n . &% 7!&ni#a %i(-l&(&n!& - " la in4&"%i2n #& ca#a 7i!. ca(7ian# $n % a c&" % 6 c&" % a $n %. El c (-l&(&n! a $n #&l 7ina"i 23 &% ( %!"a# a,$8. 9*9***2 Si $n n)(&" n&ga!i4 'a %i# -$&%! &n 7ina"i %$ c (-l&(&n! #&7& %&" 7!&ni# #& la (i%(a 0 "(a . T (&( % &l n$(&" F95 D 9**** F95. *9999 K95 COMPLEMENTO A DOS S& c (-l&(&n!a # % &% %i(ila" al c (-l&(&n! 9 &n &l %&n!i# #& ,$& $n #8gi! &E!"a &% $!ili/a# -a"a "&-"&%&n!a" &l %ign . El calc$l #&l c (-l&(&n! # %. %in &(7a"g #i0i&"& $n - c . En c (-l&(&n! a $n . ! # % l % 7i!% % n in4&"!i# %I -&" &n c (-l&(&n! a # %. ca#a 7i! #& #&"&c'a a i/,$i&"#a &% in4&"!i# . -&" % la(&n!& #&%-$C% #& ,$& &l -"i(&" A9B &% #&!&c!a# . U%a"&( % &l n)(&" K 22 c ( $n &3&(-l D *9*99* K22 S$ c (-l&(&n! a # % #&7& #& %&"D 9*9*9* F22 O7%&"4& ,$& la "&-"&%&n!aci2n - %i!i4a #&l n)(&" 22. a""ancan# #&%#& la #&"&c'a &l -"i(&" #8gi! &% $n * ,$& n &% in4&"!i# I &l %&g$n# #8gi! &% $n 9. c ( ! # % a%8 ,$& ! # % l % #8gi! % #&%-$C% #&l $n % n in4&"!i# %. S& $n n)(&" n&ga!i4 &%!a &n c (-l&(&n! a # %. &%!& c (-l&(&n! : $n n)(&" - %i!i4 &% &nc n!"a# #& la (i%(a 0 "(a . 9*9** F94 *999*K94 T # % l % 7i!% #& la #&"&c'a 'acia la i/,$i&"#a % n in4&"!i# % #&%-$C% #& ,$& &l -"i(&" A9B &% #&!&c!a# . O!" % &3&(-l % #&l c (-l&(&n! a # % % n ( %!"a# % a a,$8D *9***9K9 ***999K *9***9 999**9F *****9K9 999999F9 C (-l&(&n! a # % #& * n &Ei%!&. c n%i#&"an# ,$& &l -"i(&" 9 n$nca &% &nc n!"a# &n &l n)(&" . El c (-l&(&n! a # % #& * &n! nc&% &% * &l c (-l&(&n! a # % &% l (C! # % a"i!(C!ic (5% c ()n $!ili/a# &n c (-$!a# "a% !al&% c ( l % c n!" la# "&% -" g"a(a7l&% . CODIGOS =INARIOS Un i(- "!an!& "&,$&"i(i&n! #& l % c n!" la# "&% -" g"a(a7l&% &% la c ($nicaci2n c n 4a"i % #i%- %i!i4 % &E!&"n % ,$& -" - "ci nan in0 "(aci2n al c n!" la# " "&ci7&n in0 "(aci2n #&%#& &l c n!" la# ". E%!a 0$nci2n #& &n!"a#a G %ali#a in4 l$c"a la !"an%(i%i2n. (ani-$laci2n 6 al(ac&na(i&n! #& #a! % 7ina"i % ,$& al (i%( !i&(- #&7&n #& %&" in!&"-"&!a# % - " '$(an %. A$n,$& la (5,$ina -$&#& 0acili!a" &l (an&3 #& l % #a! % 7ina"i . n % !" % "&,$&"i( % ,$& l % #a! % %&an c n4&"!i# % a (5% #& $na 0 "(a #& in!&"-"&!aci2n $n ca(in -a"a %a!i%0ac&" &%! % "&,$&"i(i&n! % &% la a%ignaci2n #& $na c (7inaci2n #& 9W% 6 *W% a ca#a n)(&" . l&!"a %8(7 l 'ac& "&-"&%&n!a"%&. E%a !Ccnica &% lla(a#a c #i0icaci2n 7ina"ia &n g&n&"al. 'a6 # % ca!&g "8a% #& c2#ig % F L % $%a# % -a"a "&-"&%&n!a" n)(&" % % la(&n!& 6 l % $%a# % -a"a "&-"&%&n!a" l&!"a% %8(7 l % 6 n)(&" % #&ci(al&% F. Alg$n % c2#ig % -a"a "&-"&%&n!a" n)(&" % . %8(7 l % 6 l&!"a% 'an %i# in%!i!$i# % 6 % n "&gla% a !"a4C% #& la in#$%!"ia. En!"& l % (5% c ($n&% &%!5n l % %ig$i&n!&%D ASCII =CD Pagina 4@

GRAY
ASCII

C2#ig % al0an$(C"ic % : L&!"a%. %8(7 l % 6 n)(&" % #&ci(al&% < % n $!ili/a# % c$an# la in0 "(aci2n -" c&%a#a - " &l &,$i- . c ( c n i(-"&% "a CRT%. #&7& (an&3a" &l al0a7&! !an! c ( n)(&" % 6 %8(7 l % &%-&cial&% &%! % ca"ac!&"&% F 2@ l&!"a% :Ma6)%c$la%<. #i&/ n)(&" % :F*N<. - %i!i4 (a!&(5!ic 6 %8(7 l % #& -$n!$aci2n F -$&#&n %&" "&-"&%&n!a# % $%an# $n c2#ig #& @ 7i!% : 2@ Y @4 <. El c2#ig (5% c ()n -a"a la "&-"&%&n!aci2n al0an$(C"ica &% &l A(&"ican S!an#a"# C #& 0 " In0 "(a!i n In!&"c'ang& :ASCII< :N "(a #& c2#ig a(&"ican -a"a &l in!&"ca(7i #& in0 "(aci2n< El ac"2ni( &% -" n$ncia# Aa%P&6B. El c2#ig ASCII -$&#& !&n&" @ . H. L 7i!% &l al0a7&! 75%ic n)(&" % 6 %8(7 l % &%-&cial&% -$&#&n %&" ac ( #a# % &n $n c2#ig #& @ 7i!% :@4 - %i7l&% ca"ac!&"&%<. La n "(a ASCII $!ili/a $n c2#ig #& H 7i!% : 2H Y 92L - %i7l&% ca"ac!&"&%<. c n l c$al %& ag"&gan (in)%c$la% 6 ca"ac!&"&% -a"a &nlac&% #& c ($nicaci2n. %$(5n# %& a l % ca"ac!&"&% an!&% (&nci na# %. E%!& c2#ig #& H 7i!% -" - "ci na ! #a% la% - %i7l&% c (7inaci n&% #& ca"ac!&"&% $!ili/a#a% c$an# n % c ($nica( % c n in!&"0ac&% -&"i0C"ic %. El c2#ig ASCII #& L 7i!% &% $!ili/a# c$an# la 4&"i0icaci2n #& -a"i#a# &% %$(a#a al c2#ig n "(al #& H 7i!% -a"a 4&"i0icaci2n #& &"" "&%. O7%&"4& ,$& ! # % l % L 7i!% -$&#&n &%!a" c l ca# % &n $n 76!& la %ig$i&n!& 0ig$"a ($&%!"a &l c2#ig 7ina"i ASCII ,$& "&-"&%&n!a la l&!"a AZB : 932L < E%!a l&!"a &% g&n&"al(&n!& &n4ia#a G "&ci7i#a &n %&"i& &n!"& &l PLC 6 !" &,$i- #& c ($nicaci2n. Una !"an%(i%i2n !8-ica ASCII &% il$%!"a#a &n la %ig$i&n!& 0ig$"a $!ili/an# &l ca"5c!&" AZW. O7%&"4& ,$& # % 7i!% % n %$(a# % al ca"5c!&" -a"a %igni0ica" &l a""an,$& 6 -a" #& la !"an%(i%i2n #& ca"ac!&"&% ASCII .

=i! #& -a"i#a#

Pa"i#a# Pa" Y * Pa"i#a# I(-a" Y 9

9 * 9 9 * 9 *

AZBY 932 &n C #ig ASCII #& H =i!%


A""an,$& Pa"a#a

N)(&" #& =i!

* 9 * 9 9 * 9 * * 9 2 3 4 5 @ H L N 9*

932L Y [Z[

R&-"&%&n!aci2n ASCII #&l ca"5c!&" AZB R&-"&%&n!aci2n #& la !"an%(i%i2n ASCII #&l ca"5c!&" AZB
BCD

D&ci(al c #i0ica# &n 7ina"i 'a %i# in!" #$ci# c ( $na 0 "(a c n4&ni&n!& - " l % '$(an % -a"a &l (an&3 #& n)(&" % ,$& #&7&"5n &n!"a"%& a $na (5,$ina #igi!al 6 -a"a in!&"-"&!a" n)(&" % #& %ali#a #&%#& la (5,$ina la % l$ci2n a &%!& -" 7l&(a la c n4&"%i2n #& $n c2#ig (an&3 - " &l ' (7"& :#&ci(al< a $n c2#ig #& l&c!$"a #&l &,$i- :7ina"i <. El "&%$l!a# &% =CD . El #&ci(al. !&n&( % l % Pagina 4H

n)(&" #&l * al N . (i&n!"a% ,$& &n =c# ca#a $n % #& &%! % n)(&" % &% "&-"&%&n!a# $n n)(&" 7ina"i #& 4 7i!%. La %ig$i&n!& !a7la ($&%!"a la "&laci2n &n!"& &l c2#ig =CD 6 l % %i%!&(a% #& n$(&"aci2n 7ina"i 6 #&ci(al .

DECIMA L * 9 2 3 4 5 @ H L N

=INARI O * 9 9* 99 9** 9*9 99* 999 9*** 9**9

=CD **** ***9 **9* **99 *9** *9*9 *99* *999 9*** 9**9

C2#ig =CD c n &,$i4al&n!& 7ina"i 6 #&ci(al . La "&-"&%&n!aci2n =CD #& L$n n)(&" #&ci(al &% 7!&ni#a %i(-l&(&n!& - " "&(-la/a" ca#a #8gi! #&ci(al - " %$ &,$i4al&n!& =CD. La "&-"&%&n!aci2n =CD #&l #&ci(al H4N3 &% ( %!"a#a a,$8 c ( $n &3&(-l . *999 H *9** 4 9**9 N **99 3

T8-ica(&n!& la a-licaci2n &n PLC #& c2#ig % =CD incl$6& &n!"a#a #& #a! % : !i&(- . 4 l$(&n. -&% . &!c.< a !"a4C% #& in!&""$-! "&% gi"a! "i % . : P"&%&n!aci2n #& #a! % a !"a4C% #& -an!alla% #& H %&g(&n! % &n!"a#a% #& c #i0ica# "&% a7% l$! %. 6 $!ili/a# #& &n!"a#a% 6 %ali#a% anal2gica% ' 6 #8a l % ci"c$i! % n&c&%a"i % -a"a c n4&"!i" #& #&ci(al A=CD 6 =CD a H %&g(&n! % &%!5n In!&"Fc n%!"$i# % &n l % TRS 6 #i%- %i!i4 % #& LETS #& H %&g(&n! %. E%! % #a! % &n =CD % n ! (a# % - " &l PLC 6 c n4&"!i# % in!&"na(&n!& a %$ &,$i4al&n!& 7ina"i #&l #a! #& &n!"a#a a !"a4C% #& alg$na% in%!"$cci n&%. La% &n!"a#a% 6 %ali#a% #& #a! % =CD "&,$i&"&n 4 l8n&a% -a"a $na in!&"0ac& #& &n!"a#a 6 %ali#a -a"a ca#a #8gi! #&ci(al. La %ig$i&n!& 0ig$"a ($&%!"a $n TRS 6 $n in#ica# " #& H %&g(&n! % . ?ORMATO DE REGISTRO DE PALA=RAS C ( -"&4ia(&n!& (&nci na( %. l % c n!" la# "&% -" g"a(a7l&% "&ali/an ! #a% la -&"aci n&% in!&"na% &n 7ina"i $!ili/an# 9W% 6 *W%. En a#ici2n. &l &%!a# #& &n!"a#a G%ali#a #& l % #i%- %i!i4 % #& ca(- &% !a(7iCn l&8# &%c"i! a #&%#& la CPU #&l PLC &n 0 "(a 7ina"ia. G&n&"al(&n!&. &%!a% -&"aci n&% % n "&ali/a#a% $!ili/an# $n g"$- #& 9@ 7i!% ,$& "&-"&%&n!an n)(&" % 6 c2#ig %. R&c "#&( % ,$& la ag"$-aci2n :Uni#a#< #& 7i!% &n &l c$al $na (a,$ina &n -a"!ic$la" -&"a &% lla(a#a $na A-ala7"aB. Una -ala7"a &n $n PLC !a(7iCn &% lla(a#a A"&gi%!" B 2 Al cali/aci2nB. La %ig$i&n!& 0ig$"a il$%!"a $n "&gi%!" #& 9@ 7i!%. c (-$&%! #& 2 =6!&%.

Pagina 4L

A$n,$& l % #a! % al(ac&na# % &n c$al,$i&" "&gi%!" &% "&-"&%&n!a# &n 7ina"i 9W% 6 *W% . &l 0 "(a! % &n &l c$al &% al(ac&na# -$&#& #i0&"i" #& $n a !" c n!" la# ". G&n&"al(&n!&. l % #a! % % n "&-"&%&n!a# % &n $n a""&gl :n c #i0ica# < 7ina"i . D&ci(al C #i0ica# &n =ina"i :=ina"6 C #& D&ci(al< :=CD<. EEa(in&( % &%!a% # % 0 "(a%.
FORMATO BINARIO

L % #a! % al(ac&na# % &n &%!& 0 "(a! -$&#& %&" #i"&c!a(&n!& c n4&"!i# a %$ &,$i4al&n!& #&ci(al %in ning$na "&%!"icci2n &%-&cial. En $n "&gi%!" #& 9@ 7i!% &n! nc&%. $n 4al " (5Ei( #& @55359* -$&#& %&" "&-"&%&n!a# . La %ig$i&n!& 0ig$"a ($&%!"a &l 4al " @55359* &n 0 "(a! 7ina"i :! # % l % 7i!% &n 9<.C ( -"&4ia(&n!& 4i( %. "&c "#&( % ,$& $!ili/an# &l 7ina"i !a(7iCn - #&( % "&-"&%&n!a" &%!a# % #& 9 2 *. l % c$al&% -$&#&n %&" :6 % n< in!&"-"&!a# % - " &l PLC c ( alg Enc&n#i# :ON< 2 A-aga# :O??<. T # % &%! % &%!a# % % n al(ac&na# % &n $na -ala7"a "&gi%!" . 95 94 93 92 99 9* N 9 9 9 9 9 9 9 L 9 H 9 @ 9 5 9 4 9 3 9 2 9 9 9 * 9

Un "&gi%!" #& 9@ 7i!% c n!&ni&n# @5.5359* &n 7ina"i El 7i! (a% %igni0ica!i4 #&l "&gi%!" &n la 0ig$"a %ig$i&n!& &% $!ili/a# c ( $n 7i! #& %ign . &n! nc&% &l 4al " (5Ei( #&ci(al ,$& - #&( % al(ac&na" &% K 32. H@H 2 F32.H@H.

95 94 93 92 99 9* N * 9 9 9 9 9 9

L 9

H 9

@ 9

5 9

4 9

3 9

2 9

9 9

* 9

K32.H@H 95 94 93 92 99 9* N 9 * * * * * * L * H * @ * 5 * 4 * 3 * 2 * 9 * * 9

F32.H@H D % "&gi%!" % #& 9@ 7i!% c n =i! #& %ign :MS=< El &,$i4al&n!& #&ci(al #& &%!a "&-"&%&n!aci2n 7ina"ia &% a"c'i4a#a $!ili/an# &l (C! # #& la %$(a #& l % -&% %. La "&-"&%&n!aci2n n&ga!i4a #& 32H@H9* a %i# 7!&ni# $!ili/an# &l (C! # #& c (-l&(&n! a # %. C ( $n &3&"cici . 4&a c ( % n calc$la# % &%! % # % n)(&" %.
FORMATO BCD

Si &l #a! &% al(ac&na# &n &l 0 "(a! =CD. &n! nc&% % n $!ili/a# % 4 7i!% -a"a - #&" "&-"&%&n!a" $n # l #8gi! #&ci(al. L % n)(&" % #&ci(al&% ,$& %& -$&#&n "&-"&%&n!a" c n 4 7i!% % la(&n!& % n #&l * 'a%!a &l N. 1a6 c n!" la# "&% -" g"a(a7l&% ,$& -&"an 6 al(ac&nan #a! % &n alg$na% #& %$% in%!"$cci n&% !al&% c ( a"i!(C!ica% 6 #& (ani-$laci2n #& #a! %. $!ili/an# &l 0 "(a! =CD. N$&%!" "&gi%!" #& 9@ 7i!% &n! nc&% -$&#& "&-"&%&n!a" $n &,$i4al&n!& #&ci(al #& 'a%!a 4 #8gi! %. 6 &l 4al " #&ci(al ,$& -$&#& %&" "&-"&%&n!a# &% #&%#& **** 'a%!a NNNN. La "&-"&%&n!aci2n #& NNNN =CD &%!a "&-"&%&n!a#a &n la %ig$i&n!& 0ig$"a. 95 94 93 92 99 9* N L H @ 5 4 3 2 9 * 9 * * 9 9 * * 9 9 * * 9 * * * 9 N N N R&gi%!" c n!&ni&n# &l n)(&" NNNN=CD N

Pagina 4N

CONCEPTOS LOGICOS Un "&,$i%i! 0$n#a(&n!al -a"a &n!&n#&" a l % c n!" la# "&% -" g"a(a7l&% 6 %$% a-licaci n&%. &% &l &n!&n#i(i&n! #&l !"a7a3 #& la% -&"aci n&% l2gica%. &n &%!& ca-i!$l ( %!"a"&( % la% !"&% 0$nci n&% l2gica% 75%ica% F AND. OR 6 NOT F -$&#&n %&" c (7ina#a% -a"a 'ac&" #&%#& ($6 %i(-l&% 'a%!a ($6 c (-l&3a% #&ci%i n&% #& c n!" l. EL CONCEPTO =INARIO El c nc&-! 7ina"i n &% $na i#&a n$&4a. &n "&ali#a#. &% ($6 4i&3a. N % "&0&"i"&( % a la i#&a c n%i#&"an# %i(-l&(&n!& ,$& ($c'a% c %a% -$&#&n ! (a" $n #& # % &%!a# % -"&#&!&"(ina# %. P " l !an! . $na l$/ -$&#& &%!a" &nc&n#i#a :ON< a-aga#a :O??<. $n in!&""$-! " -$&#& &%!a" a7i&"! :OPEN< c&""a# :CLOSED< 2 $n ( ! " -$&#& &%!a" c ""i&n# :RUNNING< -a"a# :STOPPED<. En l % %i%!&(a% #igi!al&%. &%!a% # % c n#ici n&% #& &%!a# -$&#&n c n%i#&"a%& c ( $na %&+al ,$& &%!5 PRESENTE 2 NO PRESENTE. ACTIJADA 2 NO ACTIJADA. ALTO 2 =A\O. ON O??. &!c. E%!& c nc&-! #& # % &%!a# % -$&#& %&" la 7a%& -a"a ! (a" #&ci%i n&%. 6 - " l !an! &% ($6 a#a-!a7l& al %i%!&(a #& n$(&"aci2n 7ina"ia. &% 0$n#a(&n!al -a"a la c n%!"$cci2n #& 7l ,$&% -a"a la -" g"a(aci2n #& c n!" la# "&% -" g"a(a7l&% 6 la% c (-$!a# "a% #igi!al&%. D&%#& a,$8. 6 a !"a4C% #& &%!& li7" . &l 7ina"i A9B. "&-"&%&n!a la -"&%&ncia #& $na %&+al 2 la c$""&ncia #& alg)n &4&n! . (i&n!"a% ,$& &l 7ina"i A*B "&-"&%&n!a la a$%&ncia #& la %&+al 2 la n c$""&ncia #&l &4&n! . En l % %i%!&(a% #igi!al&%. &%! % # % &%!a# % &%!5n "&-"&%&n!a# % - " # % #i%!in! % ni4&l&% #& 4 l!a3&. c ( %& ($&%!"a &n la %ig$i&n!& !a7la. Un 4 l!a3& &% (a% - %i!i4 : !i&n& $na "&0&"&ncia (a% al!a< ,$& &l !" . A (&n$# . &l =ina"i A9B :2 l2gic < &% in!&"ca(7ia#a -a"a "&0&"i"l c ( J&"#a#&" :TRUE<. Enc&n#i# :ON< 2 Al! :1IG1<. El 7ina"i A*B:2 l2gic *< &% "&0&"i# c ( ?al% :?ALSE<. A-aga# :O??< 2 =a3 :LOR<. 9 :KJ< OPERANDO SONANDO ENCENDIDO ANUNCIAND O RODANDO ENGANC1A DO CERRADO * :*J< NO OPERANDO NO SONANDO APAGADO SILENCIO E\EMPLO INTERRUPTOR DE LIMITE CAMPANA LAMPARA =ICINA

PARADO MOTOR DESENGANC1A EM=RAGUE DO A=IERTO JALJULA

C nc&-! 7ina"i $!ili/an# l2gica - %i!i4a D&7&( % #& n !a" &n la !a7la an!&"i ". ,$& &l 4 l!a3& (a% - %i!i4 :1IG1<. "&-"&%&n!a# c ( l2gic 9. 6 &l (&n % - %i!i4 :LOR<. "&-"&%&n!a# c ( l2gic *. % n %&l&cci na# % a"7i!"a"ia(&n!&. El $% #& l2gica 7ina"ia -a"a "&-"&%&n!a" A9Bc ( &l ni4&l #& 4 l!a3& (a% - %i!i4 6 la c$""&ncia #& alg$n % &4&n! %. &% c n%i#&"a#a c ( +,-($& ./'(0(1&. La +,-($& 23-&0(1&. c ( l il$%!"a la %ig$i&n!& !a7la. &% la $!ili/aci2n #& l2gica 7ina"ia # n#& &l ni4&l #& 4 l!a3& (a% - %i!i4 la c$""&ncia #& $n &4&n! &% "&-"&%&n!a# - " $n A*B. Un A9B"&-"&%&n!a la n c$""&ncia #& &l &4&n! &l ni4&l #& 4 l!a3& (&n % - %i!i4 . G&n&"al(&n!& la l2gica - %i!i4a &% la (a% c n4&ni&n!& #& la% # %. la l2gica n&ga!i4a &n alg$na% ca%i n&% -$&#& %&" (a% c n4&ni&n!&. 9 :KJ< NO OPERANDO NO SONANDO * :*J< OPERANDO SONANDO E\EMPLO INTERRUPTOR DE LIMITE CAMPANA Pagina 5*

APAGADO SILENCIO

ENCENDIDO ANUNCIAND O PARADO RODANDO DESENGANC1A ENGANC1A DO DO A=IERTO CERRADO

LAMPARA =ICINA MOTOR EM=RAGUE JALJULA

C nc&-! 7ina"i $!ili/an# l2gica n&ga!i4a ?UNCIONES LOGICAS El c nc&-! 7ina"i %& ($&%!"a c ( can!i#a#&% 08%ica% :4a"ia7l& 7ina"ia%<. ,$& -$&#&n &Ei%!i" &n $n #& # % &%!a# %. -$&#&n %&" "&-"&%&n!a# % c ( A9B 2 A*B. E4&n!$al(&n!& l % c n!" la# "&% -" g"a(a7l&% -$&#&n ! (a" #&ci%i n&% 7a%a# % &n l % "&%$l!a# % #& &%! % &%!a# %. La% -&"aci n&% "&ali/a#a% - " &,$i- % #igi!al&%. !al&% c ( l % c n!" la# "&% -" g"a(a7l&%. &%!5n 7a%a# % &n !"&% -&"aci n&% l2gica% 0$n#a(&n!al&% ] AND. OR 6 NOT. E%!a% -&"aci n&% % n $!ili/a#a% -a"a c (7ina" l % &%!a# % #& la% 4a"ia7l&% 7ina"ia%. Ca#a 0$nci2n !i&n& "&gla% ,$& #&!&"(inan &l &%!a# #& la %ali#a :J&"#a#&" 2 0al% < 6 $n %8(7 l ,$& "&-"&%&n!a la -&"aci2n. Pa"a &l -" -2%i! #& &%!a #i%c$%i2n. &l "&%$l!a# #& $na &E-"&%i2n &% lla(a# $na %ali#a :Y<. 6 la% c n#ici n&% #& la &E-"&%i2n % n lla(a#a% &n!"a#a% :A. =<. A(7a% &n!"a#a% 6 %ali#a% "&-"&%&n!an 4a"ia7l&% #& # % &%!a# % la% c$al&% 0$&" n #i%c$!i#a% -"&4ia(&n!& a &%!a %&cci2n.
LA FUNCION AND

El %8(7 l ( %!"a# &n la %ig$i&n!& 0ig$"a &% lla(a# c (-$&"!a AND 6 %& $!ili/a -a"a "&-"&%&n!a" g"50ica(&n!& a la 0$nci2n AND. La %ali#a AND &% 4&"#a#&"a :9< % la(&n!& %i ! #a% la% &n!"a#a% % n 4&"#a#&"a% :9<

En!"a#a%

Sali#a

S8(7 l #& la 0$nci2n AND. El n)(&" #& &n!"a#a% -a"a la c (-$&"!a AND n !i&n& li(i!&. -&" % la(&n!& !i&n& $na %ali#a. La %ig$i&n!& 0ig$"a ($&%!"a &l "&%$l!a# #& la %ali#a :Y<. 7a%a# &n ! #a% la% - %i7l&% c (7inaci n&% #& la% &n!"a#a% -a"a $na c (-$&"!a #& # % &n!"a#a%. EL (a-a #& %ali#a% #& ac$&"# a &%!a% &n!"a#a% -"& #&0ini#a% &% lla(a# T&4+& 53 #365&5.
En!"a#a% A = C (-$&"!a #& # % &n!"a#a% Y A * * 9 9 = * 9 * 9 Ta7la #& J&"#a# Sali#a% Y * * * 9

C (-$&"!a AND #& # % &n!"a#a% 6 %$ Ta7la #& J&"#a# Pagina 59

LA FUNCION OR

El %8(7 l ( %!"a# &n la %ig$i&n!& 0ig$"a. lla(a# c (-$&"!a OR. &% $!ili/a# -a"a "&-"&%&n!a" g"50ica(&n!& a la 0$nci2n OR. La %ali#a #& la OR &% 4&"#a#&"a :9< %i $na (a% &n!"a#a% % n 4&"#a#&"a% :9<.

En!"a#a%

Sali#a%

S8(7 l #& la 0$nci2n OR C ( c n la 0$nci2n AND. &l n)(&" #& &n!"a#a% -a"a $na 0$nci2n OR n !i&n& li(i!&. -&" % la(&n!& !i&n& $na %ali#a. La !a7la #& 4&"#a# :Ma-a #& %ali#a% -a"a #&!&"(ina#a% &n!"a#a%< ($&%!"a &l "&%$l!a# #& la %ali#a :Y<. 7a%5n# %& &n ! #a% la% - %i7l&% c (7inaci n&% #& la% &n!"a#a%.
En!"a#a% A A Y = * * 9 9 = * 9 * 9 Ta7la #& J&"#a# Sali#a% Y * 9 9 9

C (-$&"!a OR #& # % &n!"a#a% 6 %$ !a7la #& 4&"#a#.


LA FUNCION NOT

EL %8(7 l ( %!"a# &n la %ig$i&n!& 0ig$"a &% &l %8(7 l NOT 6 &% $!ili/a# -a"a "&-"&%&n!a" g"50ica(&n!& a la 0$nci2n NOT. La %ali#a #& la NOT &% 4&"#a#&"a :9< %i la &n!"a#a &% 0al%a :*<. Si(ila"(&n!&. %i la %ali#a &% 0al%a :*< la &n!"a#a &% 4&"#a#&"a :9<. El "&%$l!a# #& la -&"aci2n NOT %i&(-"& &% &l in4&"% #& la &n!"a#a 6 &% g&n&"al(&n!& lla(a# $n in4&"% "

En!"a#a

Sali#a

S8(7 l #& la 0$nci2n NOT La 0$nci2n NOT. la #i0&"&ncia #& la AND 6 OR. % la(&n!& -$&#& !&n&" $na &n!"a#a 6 "a"a(&n!& &% $!ili/a#a % la. -&" %i &n c n3$n! c n la% c (-$&"!a% AND 6 OR. la -&"aci2n NOT 6 %$ !a7la #& 4&"#a# %& ($&%!"a &n la %ig$i&n!& 0ig$"a D I 7%&"4& ,$& $na NOT A -$&#& %&" "&-"&%&n!a#a c ( $na A c n $na 7a""a a""i7a

Pagina 52

En!"a#a% A En!"a#a Sali#a * 9

Sali#a% A 9 *

Ta7la #& J&"#a#

R&-"&%&n!aci2n #& la c (-$&"!a NOT 6 %$ !a7la #& 4&"#a#.

Pagina 53

SOFT&ARE DE PROGRAMACION INTRODUCCION Esta seccin contiene las instrucciones sobre las t$cnicas para programar el %&'9)*0). Se incluyen descripciones del "ard:are requerido, as como re-isiones de los diferentes conceptos de soft:are que se requieren para programacin. &as bases de programacin son discutidas en este cuaderno de traba#o del estudiante; slo la practica puede darle al usuario la competencia necesaria para la programacin a ni-el de dise<o. REUUERIMIENTOS DE 1ARDRARE =ay -arias opciones de equipo para establecer el ambiente de programacin. A continuacin se describe bre-emente cada una. T7=A4:1; 67 P=3C=1A1>4?: T4 &a 053)9T4) es una lap9top, computadora alimentada por bateras con un disco duro incluido, una unidad de disco fle.ible de 1 0*+>, y 0.) !egabytes ?!b@ de AA!. &a unidad -iene con un cargador que puede operar a 00) o a ++, /'A, seleccionable mediante un interruptor. &a T4) tiene instalado un !dulo de 'omunicaciones 05349B&, para permitir que la terminal se comunique directamente con un %&'9) a tra-$s del cable 05349'% ?no suministrado con la T4)@. &a T4) tiene un desplegado de &'7 con a#uste de brillante . Se incluye el !S97CS en la terminal, as como programas de diagnostico integrados. &as opciones disponibles para la T4) incluyenD tar#eta de mdem integrada, teclado num$rico e.terno, unidad de disco fle.ible de ) 0*4>, memoria adicional, y estuc"e de transporte. T7=A4:1; 67 P=3C=1A1>4?: T % &a 05349T), es una computadora compatible con IB! AT con monitor monocromtico, disco duro de +, !b, unidad de disco fle.ible de 1 0*+> y 0.,43 !b de AA!. Incluye un mdulo adaptador interconstruido que permite la cone.in directa al %&', y tambi$n -iene con !S9 7CS. &a T), requiere alimentacin de potencia de 'A; 00) , ++, /'A, ),*2, = . C3A592163=1 S9A4:4@2=161 53= 7; U@91=43 'ualquier computadora compatible con 7CS puede ser utili ada como una terminal de programacin, pero se requiere usar un mdulo adaptador para facilitar la cone.in al %&' para edicin y programacin ?se describen -arios en los prrafos subsecuentes@. &a computadora debe tener, por lo menos, 0.,43 !b de AA! si se -a a reali ar programacin fuera de lnea ?24, Bbyte slo se desea reali ar programacin en lnea@, un disco duro, una unidad de disco fle.ible, sea de 1 0*+> o de ) 0*4>, y !S97CS -ersin 1.0 o posterior, adems del soft:are de programacin. I:27=81>7@ 67 C3A9:4>1>43:7@ Si el usuario elige usar una computadora en -e de una terminal de programacin pre configurada se debe conectar un dispositi-o de interface entre la computadora y la cone.in del %uerto de 'omunicaciones ?%eer 'ommunication &inE@ del %&' ?si se desea editar o transferir programas@. Se puede utili ar cualquiera de los dispositi-os listados aba#oD 1+84'KT Es una tar#eta de media ranura ?Slot@ que se adapta en una de las ranuras de e.pansin de una computadora AT y que facilita la cone.in directa desde un conector de 2+ pines en la tar#eta al conector del %uerto de 'omunicaciones ?%eer 'omm &inE@ en el %&'9). 1+84'KTK1 Es una tar#eta se ranura completa que se adapta en una de las ranuras de e.pansin de una computadora AT, y da los medios para conectar la computadora al conector %'& del %&'9). Pagina 54

A diferencia del modelo BT, el BTB0 no se adaptara en algunas %cs porttiles por su tama<o fsico. 1++%'KF2 Este es un mdulo de escritorio, alimentando por 'A ?00)*++, /A' ),*2, = @, que se conecta al puerto serie de la computadora y al conector %'& del %&'9). El mdulo B8+ se adapta a las comunicaciones AS9+1+' ?"asta ), pies de distancia@ o al AS94++A ?"asta 0,,,,, pies@ con la computadora o mdem. 1+8 'KE Este es un mdulo de gabinete ?racE@ que se conecta entre el puerto serie de la computadora y el %&'9), adaptando la interface serie al protocolo 7=F. El mdulo se alimenta del racE I*C en el que esta montado. A diferencia del BE slo se adapta a comunicaciones AS9+1+' con la computadora o mdem, lo que limita la separacin del dispositi-o a ), pies o menos. 1+84'PCMK E%!& &% $n ( #$l #& !i- !a"3&!a #& c"C#i! PCMCIA ,$& %& c n&c!a &n &l -$&"! -"&-a"a# -a"a &%!& 0in &n la% c (-$!a# "a% -&"% nal&% !i- La-FT - N !&= P 6 c n &l ca7l& a-" -ia# %& c n&c!a al -$&"! #& la D1K a#a-!an# &l -" ! c l #& D1K c n &l #& la La-FT -.

DIRECCIONAMIENTO DE UNIDADES /!ARD&ARE0 &os direccionamientos de Entrada*Salida son cat$gori ados como nGmero de Habinete, Hrupo y Aanura ?IC confundir con los t$rminosD JHabinete> y J'"asis 9 Habinete> que se refieren al direccionamiento lgico, mientras que J'"asis> se refiere al dispositi-o fsico en el cul los mdulos se insertan@. Ctro concepto bsico de sistema de direccionamiento esD &os grupos de Entrada*Salida son generados por las terminales de Entrada*Salida. Kn grupo de Entradas*Salidas pueden contener "asta 02 terminales de entrada y "asta 02 terminales de salida. &as terminales dentro de cada grupo corresponden a los bits de las tablas de imagen de Entrada*Salida en el %&', como en el e#emplo de la 8igura A90. 8IHKAA A90. ASIHIA'ILI 7E HAK%CS 7E EITAA7A*SA&I7A ?7IAE''ICIA!IEITC 7E + AAIKAAS@. El segundo concepto bsico del sistema esD Kn Habinete de Entradas*Salidas es una unidad direccionada que puede contener "asta 3 Hrupos de Entradas*Salidas. &a 8igura A9+ ilustra el sistema numerado para un c"asis de 02 ranuras con 3 grupos de entradas*salidas instalados, cada uno con 02 entradas y 02 salidas. 8IHKAA A9+ HABIIETE 7E EITAA7AS*SA&I7AS 'CI 3 HAK%CS. ICTA &as direcciones de Entrada*Salida siempre se establecen en el sistema num$rico C'TA& ?Base 3@. Entonces, los 02 bits en cada grupo de la tabla de imagen se numeran de ,, "asta ,5 y 0, "asta 05, y los grupos en los gabinetes lgicos se numeran , "asta 5. El %&' puede direccionar sus Entradas*Salidas en grupos de Entrada*Salida de Aanura9+, Aanura90 o Aanura 0 * +. 'ada m$todo se e.plica en los siguientes prrafos.

Pagina 55

DIRECCIONAMIENTO DE 2 RANURAS 'on este m$todo de direccionamiento, el procesador direcciona a + ranuras del mdulo de Entradas*Salidas como si fuera 0. 'ada grupo de + ranuras de Entrada*Salida representa fsicamente por una palabra en la tabla de imagen de entrada. 'on el direccionamiento de + ranuras, se pueden utili ar mdulos de 3 y 02 puntos de Entrada*Salida. &a 8igura A91 y la 8igura A94 muestran e#emplos del mdulo de entrada de 3 puntos y un mdulo de entrada y salida de 3 puntos cada uno. 8IHKAA A91. !L7K&CS 7E EITAA7A 7E 3 %KITCS 'CI 7IAE''ICIA!IEITC 7E + AAIKAAS. 8IHKAA A94. !L7K&CS 7E EITAA7A*SA&I7A 7E 3 %KITCS 'CI 7IAE''ICIA!IEITC 7E + AAIKAAS. !dulos de Entrada*Salida de 02 puntos tambi$n pueden utili arse con el direccionamiento de + ranuras, siempre y cuando las + ranuras asignadas a cada grupo contengan mdulos con funciones opuestas ?complementarios@. !dulos de 3 y 02 puntos pueden compartir un grupo, una -e ms con las restricciones de que deben tener funciones complementarias. Aefi$rase a la 8igura A91 para un e#emplo de direccionamiento de mdulos de Entrada*Salida de 02 puntos en el grupo de Entradas*Salidas de + ranuras. DIRECCIONAMIENTO DE 1 RANURA. 'on este m$todo, el procesador direcciona una ranura del mdulo de Entradas*Salidas como un grupo de Entradas*Salidas ?i.e. 02 entradas y 02 salidas@. 'ualquier combinacin de mdulos de 3 a 02 Entradas*Salidas puede tener direccionamiento de 0 ranura. &a 8igura A9) ilustra mdulos de 02 puntos utili ando este direccionamiento. 8IHKAA A9). !L7K&CS 7E EITAA7A*SA&I7A 7E 02 %KITCS 'CI 7IAE''ICIA!IEITC 7E 0 AAIKAA. !dulos de Entrada*Salida con 1+ puntos pueden ser direccionados como 0 ranura, su#etos a la restriccin que mdulos adyacentes deben ser de diferente tipo, o una de las ranura debe estar -aca. %ara entender me#or la ra n de esta restriccin, consid$rese el caso en donde un mdulo de entrada de 1+ puntos se instala. El %&' utili a los 02 bits de la tabla de imagen para la ranura en la cul esta instalado el mdulo, y los 02 bits de la tabla en la cul estn asignados a la ranura adyacente. Si otro mdulo de entrada fuera a instalarse en la segunda ranura, no "abra manera para el %&' que direccionar a los bits de entrada. 7e manera contraria, s un mdulo de salida se instalara en la ranura, el %&' utili ara los 02 bits asignados a cada una de las + ranuras en la tabla de imagen de salida, para direccionarle complemento completo de 1+ puntos en el mdulo de 1+ puntos. 8IHKAA A92. !L7K&CS 7E 1+ %KITCS 'CI 7IAE''ICIA!IEITC 7E 0 AAIKAA. 8IHKAA A95. !L7K&CS 7E 1+ %KITCS 'CI 7IAE''ICIA!IEITC 7E 0 AAIKAA. DIRECCIONAMIENTO.1 / 2 RANURA. 'on el direccionamiento de 0 * + ranuras, el %&' direcciona a la mitad de la ranura de entrada*salida como si fuera un grupo de entradas*salidas. El direccionamiento fsico de un grupo de Entradas*Salidas corresponde a dos palabras de entrada y dos palabras de salida en la tabla de imagen. 'on este m$todo, cualquier combinacin de mdulos de Entradas*Salidas de 3, 02, o 1+ puntos puede ser instalada. &a figura A93 es un e#emplo del direccionamiento de 0 * + ranura para un mdulo de entrada de 1+ puntos. 8IHKAA A93. !L7K&C 7E EITAA7A 7E 1+ %KITCS 'CI 7IAE''ICIA!IEITC 7E 0 *+ AAIKAA. N9A7=1>4?: 67 ;3@ G1E4:727@ 67 E:2=161@/S1;461 /I/O0 El concepto bsico de la numeracin de gabinetes, es que se asigna un nGmero de gabinete por cada 3 grupos de I*C, independientemente de cul sea el m$todo de direccionamiento Pagina 5@

que se utilice. &as ilustraciones de las 8iguras siguientes muestran la aplicacin del concepto para direccionamiento de +, 0, y 0 *+ ranura. 'on el direccionamiento de dos ranuras, cada par de ranuras ?un grupo de I*C@ es asignado al par de palabras correspondientes en la tabla de imagen de I*C ?/er 8igura A96@. 8IHKAA. A96 TAB&A 7E I!AHEI 7E I * C y E& =AA7MAAE 'CAAES%CI7IEITE %AAA E& 7IAE''ICIA!IEITC 7E 7CS AAIKAAS. 'uando se utilice el direccionamiento de 0 ranura, cada ranura indi-idual ?0 grupo de I*C@ es asignado a la palabra correspondiente en la tabla de imagen de I*C ?/er la 8igura A90,@. 8IHKAA A90,. TAB&A 7E I!AHEI 7E I*C N E& =AA7MAAE 'CAAES%CI7IEITE %AAA E& 7IAE''ICIA!IEITC 7E 0 AAIKAA. %ara el direccionamiento de 0 *+ ranura, cada grupo de I*C de 0 *+ ranura ?0 *+ mdulo@ se asigna a la palabra correspondiente de la tabla de imagen de I*C. 8IHKAA A900.TAB&A 7E I!AHEI 7E I*C N E& =AA7MAAE 'CAAES%CI7IEITE %AAA E& 7IAE''ICIA!IEITC 7E 0 *+ AAIKAA. 'uando un nGmero de gabinete se "a asignado al primer grupo de 3 I*C de un c"asis, el %&' y el Adaptador Aemoto de I*C automticamente asignarn el nGmero inmediato superior del gabinete a los grupos de I*C que queden en el c"asis ?/er 8igura a90+@. 8IHKAA A90+ ASIHIA'ILI AKTC!OTI'A 7E &CS IP!EACS 7E HABIIETE QKE QKE7AI EI KI '=ASIS SEI'I&&C 7E I*C. Se pueden seleccionar diferentes m$todos de direccionamiento para cada c"asis de I*C en un sistema ?/er 8igura A901@. 8IHKAA A901. !RTC7CS 7E 7IAE''ICIA!IEITC !ISTC. A%EI7I'E B D4=7>>43:1A47:23 >3A5;7A7:21=43 El direccionamiento complementario de I*C permite la e.pansin del nGmero de canales de I*C que se pueden conectar sin agregar mdulos %&'. El concepto es que el nGmero de gabinete de un gabinete ?gabinete primario@ se asigna a un segundo gabinete ?gabinete complementario@, y los mdulos de I*C se instalan a manera de que sus funciones sean complementarias; i.e., mdulos de entrada en el gabinete primario se colocan opuestamente a los mdulos de salida en el gabinete complementario y -ice-ersa. 7e esta manera, los bits no utili ados en la tabla de imagen pueden utili arse para direccionar I*C adicionales. =ay algunas restricciones en el uso de direccionamiento complementarioD 0.9 El c"asis local ?%&'9)@ no puede configurarse para direccionamiento complementario. +.9 Io se puede utili ar direccionamiento complementario con mdulos de I*C de 1+ puntos y direccionamiento de 0 ranura. 1.9 'uando mdulos que utili an transferencia de bloques de doble ranura en un c"asis primario, la ranura i quierda del grupo complementario debe estar -aca, y la ranura derec"a slo puede ser un mdulo de salida de 3 puntos ?s e.iste@. 4.9 'uando se use un mdulo de transferencia de bloques de una sola ranura en un c"asis primario, la ranura derec"a del grupo primario de I*C puede ser otro mdulo de transferencia de bloques de una sola ranura, o un mdulo de I*C de 3 puntos; la ranura i quierda del grupo complementario de I*C debe estar -aco; y la ranura de la derec"a del grupo complementario de I*C slo puede contener un mdulo de salida de 3 puntos, e.cepto que la ranura deba estar -aca si la ranura correspondiente en el gabinete primario es un mdulo de transferencia de bloques de 0 ranura. &as ilustraciones en las pginas siguientes son e#emplos de las posibilidades de colocacin de mdulos para direccionamientos de +, 0 y 0 *+ ranura.

Pagina 5H

8IHKAA B90 7IAE''ICIA!IEITC 7E + AAIKAAS. 8IHKAA B9+ 7IAE''ICIA!IEITC 7E 0 AAIKAA. 8IHKAA B91 7IAE''ICIA!IEITC 7E 0 *+ AAIKAA TABLAS DE IMAGEN DE ENTRADA /SALIDA &as figuras de las siguientes pginas muestran las tablas de imagen de entrada y salida para el !dulo Aedi%anel +5,). &os smbolos y abre-iaciones en la siguiente tabla aparecen en las tablas de imagen. Aefi$rase a esta tabla para identificar bits especficos en las tablas de imagen. Aepresenta un J,>. Este bit no tiene funcin asignada. = Bit de protocolo ?"ands"aEe@. 80982 Bits de las teclas de funciones. Estos bits de entrada desde las Teclas de f unciones. S Signo, indica el signo de la tabla de imagen del %&' correspondiente. 7ec. %osicin 7ecimal; indica el nGmero de ceros a la derec"a del punto %os. decimal. a. Tabla de imagen de entradaD indica la posicin decimal del dato accesado. b. Tabla de imagen de salidaD indica la posicin decimal del dato num$rico a. ser desplegado. 7' 'ontrol del %unto 7igital. S7% %lantilla de %osicin del %unto 7ecimal; nGmero de dgitos a la derec"a del punto decimal. 7! 7esplegado de mensa#e; permite almacenar mensa#es de 02 caracteres para ser desplegados o datos AS'II ?no datos num$ricos del %&'@, cuando 7! T0. &09&2 &as lmparas ?&E7Us@ locali adas en cada tecla de funcin. &as se<ales de salida que realimentan son reconocidas cuando fue seleccionada una funcin; tambi$n se pueden utili ar como luces de piloto. J0>T &E7 encendido, J,>T &E7 apagado. S!7 IGmero del !ensa#e en !emoria 7esplegado; la locali acin de la palabra de salida para el nGmero del mensa#e el cul ser desplegado en el teclado ?Eeypad@. El nGmero esta en formato B'7 para todos los tipos de configuraciones . 'uando S!7TJ,>, el dato AS'II ser desplegado. 8IHKAA '90. TAB&AS 7E I!AHEI 7E EITAA7A*SA&I7A, 7E 0 *4 7E HABIIETE. 8IHKAA '9+. TAB&AS 7E I!AHEI 7E EITAA7A*SA&I7A, 7E 0 *+ HABIIETE. 8IHKAA '91. TAB&A 7E I!AHEI 7E EITAA7A*SA&I7A, 7E 1 *4 7E HABIIETE. 8IHKAA '94. TAB&A 7E I!AHEI 7E EITAA7A*SA&I7A, 1 *4 7E HABIIETE ?'CITIIKA'ILI@ 8IHKAA '9). TAB&A 7E I!AHEI 7E EITAA7A*SA&I7A, HABIIETE 'C!%&ETC. 8IHKAA '92. TAB&A 7E I!AHEI.7E EITAA7A*SA&I7A, HABIIETE 'C!%&ETC ?'CITIIKA'ILI@. Pagina 5L

8IHKAA '95. TAB&A 7E I!AHEI 7E EITAA7A*SA&I7A, HABIIETE 'C!%&ETC ?'CITIIKA'ILI@. 8IHKAA '93. TAB&A 7E I!AHEI 7E EITAA7A*SA&I7A, 0 *+ HABIIETE ?!EISAVES A&!A'EIA7CS@. 8IHKAA '96. TAB&A 7E I!AHEI 7E EITAA7A*SA&I7A, 1 *4 7E HABIIETE ?!EISAVES A&!A'EIA7CS@. 8IHKAA '90,. TAB&A 7E I!AHEI 7E EITAA7A*SA&I7A, 1 *4 7E HABIIETE ?'CITIIKA'ILI@. 8IHKAA '900. TAB&A 7E I!AHEI 7E EITAA7A*SA&I7A, HABIIETE 'C!%&ETC ?!EISAVES A&!A'EIA7CS@. 8IHKAA '90+. TAB&A 7E IIAHMI 7E EITAA7A*SA&I7A, HABIIETE 'C!%&ETC ?!EISAVES A&!A'EIA7CS, 'CITIIKA'ILI@. 8IHKAA '901. TAB&A 7E EITAA7A*SA&I7A, HABIIETE 'C!%&ETC ?!EISAVES A&!A'EIA7CS 'CITIIKA'ILI@. DESCRIPCIN DE SO?TRARE A=F@2** JER. 5.*9.*3 Independientemente del equipo seleccionado para la programacin, ser necesario cargar el soft:are de programacin en la termina. El paquete de soft:are incluye los discos de programa y el !anual de Ksuario ?'at. Io.2+,,92.).)@. El soft:are esta disponible en discos de 1 0*+> o de ) 0*4>. El !anual de Ksuario se refiere al soft:are Jserie 2+,,> independientemente del tama<o del disco. Este libro de traba#o usar la misma con-encin. I:@21;1:63 7; S382P1=7 El soft:are se carga en el disco duro de la terminal de programacin. %ara cargar el soft:are, inserte el 7isco 0 del paquete de soft:are en la unidad A, cambie la unidad por defecto a AD, e introdu ca IISTA&&D El programa de instalacin requerir de -arios datos de informacin. Siga los enunciados que aparecen en la pantalla de la terminal, aceptando los datos por defecto o introduciendo nue-os datos segGn sea apropiado. 'uando el Gltimo disco "a sido cargado, la pantalla desplegarD %&'9) %rogramming Terminal Soft:are Installed ?Soft:are de la Terminal de %rogramacin %&'9) Instalado@ &a terminal de programacin tiene una funcin de menG que desplegar automticamente un menG de seleccin cuando se enciende la terminal. Si se desea la terminal en uso puede pre programarse para accesar el soft:are de programacin en cierto nGmero de maneras diferentes. Kna opcin llamada JS!AAT !EIK> ?J!EIK IITE&IHEITE>@ se instala como parte del soft:are de programacin. Este se accesa por medio del arc"i-o AB!EIK.ESE, que debe estar en el subdirectorio WI%7S cuando el soft:are se "a instalado. %ara Jpersonali ar> el menG para su terminal, refi$rase al !anual de Ksuario, capitulo +. ICTA Antes de continuar asegGrese que el arc"i-o 'CI8IH.SNS en su terminal contiene las siguientes lneasD 8I&ES T +, BK88EAS T 02 ?o cualquier nGmero entre 02 y 1,@ Pagina 5N

7E/I'E T AISI.SNS ?Si AISI.SNS no esta en el directorio ra incluya el %AT= ?camino@ "asta $l en la lnea 7E/I'E@ ICTA &os prrafos siguientes contienen lineamientos bsicos y generales ?introductorios@ para programar el %&'. %ara datos especficos y detallados, el usuario debe consultar el !anual de Ksuario del soft:are de la serie 2+,,, los !emos de Ingeniera de 7ise<o aplicable y otros documentos similares que prescriben procedimientos de programacin, re-isin y reporte aprobados. M7:D P=4:>451; /M14: M7:D0 Kna -e que se "a cargado y acti-ado el soft:are, use uno de los m$todos descritos para llegar al !enG %rincipal. 7esde el prompt de !S97CS, teclee lo siguienteD AB!EIK y EITEA desde la pantalla del JSmart !enG> podr seleccionar con 8) la opcin de %&') 2+,,, con lo cual estar en la pantalla principal del soft:are de programacin del %&'. D7@>=45>4?: 67 ;1 51:21;;1 5=4:>451; Esta rea del desplegado es auto 9 e.plicatoria para la mayor parte de ella; la informacin en la Gltima lnea del desplegado debe corregirse si se anticipa la programacin en lnea. Lnea de Comando Establece las teclas que pueden introducirse en esta pantalla. Lnea de Entrada Kn cursor parpadeante aparece aqu; los caracteres introducidos desde el teclado son desplegados en esta lnea. Lnea de Estado 7escribe la funcin asignada en ese momento a cada una de las teclas de funcin ?cambia de una pantalla a otra, dependiendo de la funcin de programa seleccionada; de manera que estas teclas son llamadas teclas de J8uncin &gica> ?JSoft 8unction>@. Teclas de Entrada T7>;1@ N >3AE4:1>4?: 67 27>;1@ A1@ 924;4Q161@ Adems de las teclas estndar que introducen caracteres alfanum$ricos, ciertas teclas y combinaciones de teclas producirn efectos especiales ?en algunos casos, "ay formas alternati-as de obtener los mismos resultados@D TE'&AS 7E EITAA7A BacEspace o Borra el carcter a la i q. del cursor 'TA&9= 7E& Borra el carcter sobre el cursor 'TA&9V Borra del cursor al final de la lnea 'TA&9K Borra del inicio de lnea a uno antes del cursor 'TA&9S Borra toda la lnea donde esta el cursor 'TA&97 o flec"a !ue-e el cursor un carcter a la i quierda IXQ. 'TA&98 o flec"a !ue-e el cursor un carcter a la derec"a 7EA 'TA&9B !ue-e el cursor al inicio de la lnea 'TA&9E !ue-e el cursor al final de la lnea AAAIBA, ABAVC !ue-e el cursor una lnea arriba o aba#o o un 7EAE'=A, carcter a la i quierda o a la derec"a IXQKIEA7A %H K%*%H 7I !ue-e el cursor al inicio o fin de la pantalla ?si "ay Pagina @*

'TA&9%H K%*'TA&9%H 7I =C!E*EI7 IIS o 'TA&9A 'TA&9A EITEA o 'TA&9! ES'

ms de una pantalla de informacin se mue-e a la pr.ima o a la siguiente pantalla@ !ue-e el cursor al siguiente escaln o al escaln pre-io en un diagrama de escalera !ue-e el cursor a la primera o Gltima entrada de arc"i-o 'ambia entre los modos de insertar y borrar &lama a la Gltima lnea de entrada y la repite Acepta informacin introducida desde el teclado Abandona la entrada u operacin y regresa a la pantalla anterior.

>3:84C9=1>4?: 67; S4@27A1 /F60 Antes de proceder al modo de programacin puede ser necesario configurar el sistema de configuracin ?caractersticas de despliegue@. Esto se "ace presionando 82 desde el !enG %rincipal, lo cual produce la siguiente pantallaD 8IHKAA 390 %AITA&&A 7E 'CI8IHKAA'ICI 7E& SISTE!A. F1 R7@1;2163 67 E6423= 'onmuta el estilo del cursor de edicin entre Intenso e In-erso. F2 C3:2=3; 67 D7@5;47C97 67 N47B7 'onmuta entre AKTC y C88. Algunos monitores a color despliegan un patrn de ruido o interferencia ?Jnie-e>@. El modo AKTC algunas -eces podr solucionar este problema, pero incrementa el tiempo requerido para actuali ar la pantalla. El modo C88 producir desplegados de pantalla ms rpidos. F# S7;7>>4?: 67 C3;3= %ermite seleccionar el color del fondo entre 3 opciones y el color de los caracteres entre 02 opciones. El cursor se mue-e al elemento que se -a a#ustar, y se presiona 81 "asta que se muestre el color deseado. &a figura 39+ muestra la pantalla de Seleccin de 'olor. 8IHKAA 39+ %AITA&&A 7E SE&E''ILI 7E 'C&CA. F4 M363 67 C3A9:4>1>4?: /L4:F0 'onmuta entre Espera o Io 9 Espera Aeconocimiento, indicando si se "a seleccionado la opcin o no. Si esta seleccionada, la terminal espera por el reconocimiento de que el procesador "a recibido el Gltimo paquete de datos antes de proceder. Esta opcin debe ser seleccionada si la comunicacin con el procesador es ruidosa o si es ob#eto de errores frecuentes de transmisin. F >3:84C9=1>4?: 67 ;1 IA5=7@3=1 7e acceso a la utilera de pre programado de la impresora, para establecer la compatibilidad del programa con la impresora conectada a la terminal de programacin. 'uando se presiona 8), aparece la pantalla mostrada en la 8igura 391D 8IHKAA 391 %AITA&&A 7E 'CI8IHKAA'ILI 7E I!%AESCAA. F1 T453 67 IA5=7@3=1 'onmuta entre las selecciones de impresora SEAIA& o %AAA&E&C ?%AAA&&E&@. Si se selecciona %AAA&E&C aparece la pantalla mostrada en la figura 394. F2 NDA7=3 67 P97=23 'onmuta el puerto serie de impresin entre 'C!0 y 'C!+ ?&%T0 y &%T+ para impresoras en paralelo@. Pagina @9

F# $7;3>4616 67 >3A9:4>1>4?: /B196 R1270 /B1961<70 A#usta la -elocidad de comunicacin para las impresoras en serie, dentro de un rango de 1,, a 62,, bits por segundo. 8IHKAA 394. %AITA&&A 7E 'CI8IHKAA'ILI 7E&I!%AESCAA %AAA&E&A F4 B42@/C1=G>27= 'onmuta la seleccin entre 5 y 3 bits por carcter para impresora en serie. F B42@ 67 P1=161 /S235 B42@0 'onmuta entre 0 y + bits de parada. F6 S7;7>>4?: 67 P1=4616 Selecciona %ar, Impar o Iinguna como requiera la impresora. F+ P=323>3;3 ,ON/,OFF /!1:6@R1F4:C0 A#usta el protocolo para impresora en serie a =ard:are o SCI*SC88. F8 A<9@27 I:4>41; 67 IA5=7@3=1 /P=4:27= S72950 %roduce una pantalla de a#uste en la cual se puede modificar o aceptar una cadena de caracteres para la iniciali acin de la impresora, esto es requerido por algunas impresoras para definir secuencias ES'ape ?referirse al manual de la impresora para detalles de esta funcin@. &a pantalla de a#uste se muestra en la figura 39). 8IHKAA 39) %AITA&&A 7E AVKSTE 7E III'IA&IXA'ILI 7E I!%AESCAA 7esde esta pantalla, se pueden "acer dos seleccionesD 85 conmuta el uso de la cadena de iniciali acin entre Si y Io, y 83 da acceso a poder introducir desde el teclado la cadena de iniciali acin. F( S1;B1= >3:84C9=1>4?: Almacena los datos de configuracin de la impresora para usarse por defecto la pr.ima -e que se acti-e el soft:are. Si se desea usar la configuracin slo por una Gnica -e , presione EITEA o ES' para aceptar los a#ustes y regresar a la pantalla anterior. F6 A==1:L97 67; S4@27A1 /SN@27A S21=2950 7efine el modo en el que el sistema se iniciali ar la pr.ima -e que se acti-e. Esta tecla a-an a la seleccin a tra-$s de las siguientes opcionesD 7irectorio de %rogramas 8uera de &nea, !enG %rincipal, 7irectorio de %rogramas En &nea, y Editor de S8'*Escaleras en &nea. F+ D784:7 D4=7>23=43 &e permite al usuario definir los %AT=S donde el sistema almacena ciertos arc"i-os. &os pat"s de directorio pueden definirse para los arc"i-os mostrados en el listado en la 8igura 39 2. Esta opcin permite al usuario organi ar los arc"i-os para fcil acceso y seguridad contra borrado accidental al usar las teclas de funcin lgica y "acer las entradas apropiadas. 'uando los directorios "an sido definidos, 86 sal-ar las selecciones para usarse cada -e que el soft:are es acti-ado, "asta que los pat"s sean cambiados de nue-o. 8IHKAA 392 %AITA&&A 7E 7E8III'ILI 7E 7IAE'TCAICS F( S1;B1= >3:84C9=1>4?: Almacena todos los a#ustes acti-os para usarse por defecto la pr.ima que se acti-e el sistema. Si los a#ustes slo son para usarse una Gnica -e , presione EITEA o ES'. 'uando la configuracin del sistema se "a a#ustado como se desea, presione 80 para proceder con %rg*7oc 8uera de &nea. P=3C=1A1>4?: F97=1 67 LS:71 %ara editar o crear un arc"i-o procesador se utili a el modo de %rogramacin 8uera de &nea. %rimero se utili a la utilera de configuracin 8uera de &nea para programar la terminal y el soft:are para programacin. Pagina @2

>3:84C9=1>4?: F97=1 67 LS:71 /F40 %ara entrar a este modo, presione 84 desde el !enG %rincipal. &a parte derec"a de la porcin superior de la pantalla despliega la lista de arc"i-os procesadores en el directorio nombrado en la parte superior del listado de directorio. A la i quierda de este listado se identifica el Gltimo arc"i-o procesador que se seleccion. En este momento el usuario debe decidir si crea un nue-o arc"i-o procesador, edita o e.amina un arc"i-o e.istente o define un pat" de directorio para almacenar arc"i-os de memoria de procesador, arc"i-os de comentarios y smbolos y arc"i-os de configuracin de usuario. F1 P=C/D3> F97=1 67 LS:71 /O88;4:7 P=C/D3>0 Toma directamente el arc"i-o procesador mostrado en la -entana de AA'=I/C %AC'ESA7CA 8KEAA 7E &YIEA y pasa al menG de Cffline %rg*7oc. F# S7;7>>43:1 A=>R4B3 /S7;7>2 F4;70 Se presiona una -e que la barra sobresaltada de la lista de arc"i-os se "a mo-ido al arc"i-o deseado usando las teclas de mo-imiento de cursor. Esto selecciona el arc"i-o procesador sobresaltado y despliega su nombre en la -entana AA'=I/C %AC'ESA7CA 8KEAA 7E &IIEA. F6 C=71= A=>R4B3 /C=7127 F4;70 Se utili a para identificar y dar los parmetros para crear un nue-o arc"i-o procesador. 'uando se presiona esta tecla, aparecer otra pantallaD Si los parmetros que aparecen en la pantalla son diferentes de los del sistema que se programar, cmbielos como se requiera, usando 8+, 81 y 84. Estas son teclas Jinterruptor> ?una opcin diferente se despliega cada -e que la tecla es presionada@. En la mayora de los casos se debe seleccionar 8A!I&IA %&'9)*0), SEAIE B*HABIIETE %AA'IA&. 84 selecciona memoria BASE o ES%AI7I7A segGn lo apropiado 'uando los parmetros se "an a#ustado correctamente, introdu ca el nombre del arc"i-o para el nue-o procesador, y presione 80. &a pantalla de configuracin 8uera de &nea reaparecer, con el nue-o nombre de arc"i-o listado en el rea de directorio de arc"i-o procesador. Ktilice la barra de sobresaltado y 81 para seleccionar el nombre de arc"i-o nue-o, luego proceda a %rg*7oc 8uera de &nea ?si este arc"i-o es el arc"i-o por defecto la pr.ima -e que se acti-e el soft:are, presione 86 antes de pasar al %rg*7oc 8uera de &nea para sal-ar la seleccin@. Si, en -e de presionar 82, se introduce un nombre de arc"i-o no e.istente, un enunciado debi aparecer preguntando si se crea un nue-o arc"i-o o no. Aespondiendo mediante la tecla 83 Si ?Nes@ o presionando N se pro-ocara que la pantalla de 'rear Arc"i-o apare ca; presionando 80, o ES' regresar el programa al !enG %rincipal sin cambio alguno en el nombre de arc"i-o por defecto. E6423= 67 E@>1;7=1@ 'uando se selecciona %rg*7oc 8uera de &nea, aparece la pantalla mostrada en la 8igura 39 5. En el e.tremo superior de la pantalla se despliega el nombre del arc"i-o procesador. &a parte principal de la pantalla es un listado de todos los arc"i-os de programas incluidos en el procesador, ordenados por un nGmero de arc"i-o. Estos nGmeros y designaciones son asignadas en el diagrama de soft:are compuesto de Solar; algunos son generales a todos los productos*aplicaciones, y otros son e.clusi-os a un producto o aplicacin en particular. El arc"i-o + siempre ser el %rograma %rincipal, que es usado para establecer la secuencia de e#ecucin de los otros arc"i-os en el procesador. &os dems arc"i-os, si "ay ms de los que se pueden desplegar en la pantalla, pueden -erse presionando %g 7n. 8IHKAA 395 %AITA&&A %rg*7oc 8KEAA 7E &YIEA

Pagina @3

&a Gltima lnea de la pantalla, #usto arriba del menG de teclas de funcin, muestra el modo del procesador ?no tiene significado en modo fuera de lnea@, familia, serie, re-isin, y de nue-o, el nombre del arc"i-o. &os pr.imos prrafos describen la funcin de cada una de las teclas de funcin lgica en esta pantalla. F1 F9:>43:7@ 67; P=3>7@163= Ksadas para la programacin en lnea, para cambiar el procesador de modo %rogramacin ?%rogram@ a modo E#ecucin ?Aun@. F2 S1;B1=/M7Q>;1= Se utili a para sal-ar el arc"i-o de memoria del procesador y los arc"i-os de bases de datos ?comentarios*smbolos@, o para me clar el programa y los arc"i-os de base de datos. &a 8igura f93 muestra la pantalla Sal-ar*!e clar. 8IHKAA 393 %AITA&&A 7E SA&/AA*!EX'&AA. F2 S1;B1= N C3:24:91= Sal-a el arc"i-o del procesador acti-o y de base de datos al disco duro. 'uando se selecciona esta opcin aparece la pantalla mostrada en la figura 396. 7esde esta pantalla, el usuario puede seleccionar un nombre de arc"i-o e.istente desde la funcin 7esplegar 7irectorio ?82@, o introducir un nombre de arc"i-o. En cualquier caso, cuando se presiona 80, los arc"i-os de procesador y bases de datos acti-os en la memoria de la terminal se sal-an al disco duro. 8IHKAA 396. %AITA&&A 7E SA&/AA*'CITIIKAA F4 M7Q>;1= P=3C=1A1 =abilita al usuario para me clar el arc"i-o de programa e.istente almacenado en el disco duro con el que esta acti-o en la memoria de la terminal. F M7Q>;1= D3>9A7:21>4?: &e permite al usuario me clar los arc"i-os de documentacin seleccionados con los arc"i-os de documentacin acti-os en la memoria de la terminal. F6 M7Q>;1= T363 !e cla los arc"i-os seleccionados tanto de programa como de documentacin con aquellos en la memoria de la terminal. ICTA Aeferirse al !anual de Ksuario del soft:are de programacin para los detalles especficos para la opcin de !e cla y reglas para su uso. F# R7C=7@1= 1; M7:D P=4:>451; 7a por terminada la operacin en progreso y regresa el programa al !enG %rincipal. F4 C1AE41= A=>R4B3 7espliega la lista de arc"i-os procesadores e.istentes en el disco duro y "abilita la seleccin de un arc"i-o para edicin desde la lista. F6 C=71= R753=27@ 'uando se presiona 82, aparece la pantalla mostrada en la figura 390,. Esta es la pantalla de entradas para la funcin de 'rear Aeportes. En el lado derec"o de la pantalla esta una lista de los reportes que pueden ser generados. Kna barra de resaltado se mue-e "acia arriba y aba#o en la lista en respuesta a las teclas de mo-imiento de cursor flec"a Arriba y flec"a Aba#o. &a lista de opciones del lado i quierdo de la pantalla cambia para coincidir con el reporte seleccionado por la barra. Si es necesario cambiar las opciones de reporte, presionando 8) Cpciones de Aeporte se produce una pantalla como la mostrada en la 8igura 390,, desde la cual las opciones pueden ser alteradas como se desea presionando la tecla de funcin apropiada. 8IHKAA 390, %AITA&&A 7E 'AEAA AE%CATES Pagina @4

8IHKAA 3900 %AITA&&A 7E C%'ICIES 7E AE%CATES F6 O5>43:7@ G7:7=1;7@ Se utili a para a#ustar las opciones para todos los reportes, tales como el a#uste de la impresora, anc"o y longitud de pgina, y destino del reporte ?impresora o arc"i-o@. &a pantalla de Cpciones Henerales se muestra en la 8igura 390+. 8IHKAA 390+ %AITA&&A 7E C%'ICIES HEIEAA&ES F2. F# N F4 Se utili a para seleccionar reportes de la lista de documentacin para imprimir. Kn documento que se "a seleccionado para impresin se identifica con un asterisco en la lista de documentos, ?en la 8igura 390+ todos los documentos "an sido seleccionados@. 8+ selecciona todos; 81 conmuta la seleccin ?aparece y desaparece el asterisco@ del documento seleccionado con la barra y 84 borra todas las selecciones. F+ D784:7 D4=7>23=43 Se usa para indicar el pat" para guardar los reportes que se en-an a arc"i-o en -e de a la impresora. F8 TS29;3 Se utili a para introducir el ttulo del reporte que se esta preparando. &a 8igura 3901 muestra un e#emplo de la pantalla de Ttulo. 8IHKAA 3901 %AITA&&A 7E TYTK&C. 'uando un reporte "a sido definido por las opciones generales y de reporte, se en-a a la impresora ?o a almacenarse a disco@ por la tecla 80 'rear Aeportes. &a primera pgina del reporte impreso muestra el ttulo, el nombre del arc"i-o procesador y la "ora y fec"a del reporte ?-er la 8igura 3904@. 8IHKAA 3904. %AITA&&A 7E TYTK&C 7E AE%CATE El reporte e#emplo, &istado de %rograma, imprime el diagrama de escalera, escaln por escaln, como se muestra en la pgina e#emplo de la 8igura 390). 8IHKAA 390) AE%CATE 7E& &ISTA7C 7E& %ACHAA!A EVE!%&C F8 M3:423=71 A=>R4B3 Es la funcin que accesa el programa de escalera seleccionado con la barra de resaltado en el listado de programa, o alternati-amente, introducido desde el teclado. 'uando el programa es seleccionado, la pantalla despliega la primera pgina del diagrama de escalera seleccionado, con el cursor posicionado en el e.tremo i quierdo del Escaln , del programa ?-er la 8igura 3902 para un e#emplo del despliegue@. 8IHKAA 3902 %AITA&&A 7E !CIITCAEC 7E AA'=I/C El menG en la base de la pantalla se conoce como el !enG del Editor de Escalera. Slo aquellas funciones no descritas pre-iamente sern e.plicadas en los prrafos siguientes. F2 P1:21;;1 67 >3:84C9=1>4?: 8acilita el a#uste de las caractersticas de despliegue como se desee. &a pantalla mostrada en la 8igura 3905 se despliega con la siguiente asignacin de teclas de funcinD 80, 8+ y 81 Establecen, ya sea, el despliegue de un slo escaln por pantalla del programa de escalera, o el despliegue de mGltiples escalones ?en cuyo caso el programa llenar la pantalla con tantos escalones como quepan en ella@, o bien, el despliegue de escalones seleccionados, que permite al usuario introducir una lista de los escalones que desea sean desplegados. 8IHKAA 3905 %AITA&&A 7E 'CI8IHKAA'ILI 7E 7ES%&EHA7C Pagina @5

84 Ae-isin de 7uplicado Acti-ado*7esacti-ado ?CI*C88@ 'uando esta acti-ado, causa que el programa re-ise si "ay instrucciones de salida duplicadas en todos los arc"i-os de programa del procesador acti-o; cuando esta desacti-ado no re-isa la duplicidad. 8) 7esplegar /alor 'onmuta el que se desplieguen o no los -alores indirectos o de direcciones indirectas. 82 7ocumento Automtico Acti-a*7esacti-a ?CI*C88@ 'uando esta acti-ado despliega la pantalla de documentos automticamente cuando un escaln o instruccin que no tiene complementos es aceptada. 85, 83 y 86 'onmuta entre la acti-acin y des acti-acin del despliegue de comentarios de escaln, instruccin y direccin. &a figura 3903 ilustra e#emplos de comentarios de escaln, instruccin y direccin. 80, Sal-ar configuracin Sal-a los a#ustes acti-os del despliegue para usarse por defecto la pr.ima -e que el sistema sea acti-ado. Si los a#ustes son para usarse una Gnica ocasin, presione EITEA o ES' para pasar al siguiente paso. F4 D4=7>23=43 67 P=3C=1A1@ 7espliega el directorio de programas del procesador acti-o, y permite al usuario seleccionar un programa para edicin mediante las teclas de mo-imiento de cursor, posicionando la barra de resaltado en el programa deseado, o introduciendo el nGmero del arc"i-o deseado o el nombre desde el teclado. F D3>9A7:23 %ermite la instruccin de comentarios de Escaln, Instruccin y 7ireccin, as como modificaciones a los smbolos y manipulacin de la informacin en los arc"i-os de comentarios y de smbolos. &a 8igura 3903 es un e#emplo de la pantalla de instruccin de 7ocumento. &as teclas de funcin en este menG se discuten en los prrafos siguientesD 8IHKAA 3903 'C!EITAAICS 7E ES'A&LI, IISTAK''ILI N 7IAE''ILI. 80 'omentario de Escaln &lama la pantalla mostrada en la 8igura 3906 desde la cual el usuario puede introducir el te.to para un comentario de escaln ?asegGrese de que el cursor este en el escaln deseado antes de presionar 80@. 8IHKAA 3906 %AITA&&A 7E EITAA7A 7E& 'C!EITAAIC 7E ES'A&CI 8+, 81 7a acceso a que se introdu can comentarios de Instruccin y de 7ireccin respecti-amente. 'uando se aceptan, los comentarios aparecern en las posiciones indicadas en la 8igura 39 03. 8) Editar Base de 7atos Aparece la pantalla mostrada en la figura 39+,, desde la cual se pueden introducir entradas en las -entanas de 7ireccin, Smbolo, Escaln o Instruccin mo-iendo el cursor con las teclas de mo-imiento de cursor. &a -entana por defecto es la de 7ireccin*Smbolo ?se encontrar resaltada cuando la utilera Edita Base de 7atos sea solicitada@. El menG de teclas de funcin lgica cambia de acuerdo a la -entana seleccionada; el menG en la 8igura 39+, es para la -entana de 7ireccin*Smbolo. 8IHKAA 39+, %AITA&&A 7E E7I'ILI 7E BASE 7E 7ATCS &a seleccin de la direccin del dato que se -a a editar se reali a presionando 86 %r.ima 7ireccin, 80, 7ireccin Anterior, presionando las teclas flec"a i quierda o flec"a derec"a, o introduciendo la direccin deseada en el teclado y presionando EITEA. Pagina @@

80 Borrar Entrada Borra la direccin acti-a de la base de datos. ?%AE'AK'ILID Io e.iste la funcin 7esborrar para recuperar informacin una -e que "a sido borrada de la base de datos@ 8+ !odo Base de 7atos Selecciona un modo de entre los siguientesD 7ireccin, Smbolo, Aeferencia 'ru ada de 7irecciones, y Tabla de 7atos de 7irecciones. 81 'rear Aeferencia 'ru ada Henera o actuali a la informacin de Aeferencia 'ru ada de la direccin acti-a. 84 Buscar en la Base de 7atos 7espliega la pantalla mostrada en la 8igura 39+0 y "abilita la introduccin de la cadena de te.to que la funcin -a a buscar. &as teclas de funcin se utili an para definir la tarea de bGsqueda al programa 8IHKAA 39+0 %AITA&&A 7E BKSQKE7A EI &A BASE 7E 7ATCS 8) !odifica 7ireccin %ermite que un campo de direccin sea modificado. 82 !odifica Smbolo %ermite que un smbolo sea modificado. 85 Borra Smbolo Aemue-e el smbolo asociado con la direccin acti-a. 83 7espliega la Aeferencia 'ru ada &lama a la pantalla mostrada en la 8igura 39++. Kse las teclas de mo-imiento de cursor para mo-er el cursor a una direccin en la pantalla y presione 80 7espliega Escaln. El escaln que contenga la direccin especificada ser desplegado. 8IHKAA 39++ %AITA&&A 7E 7ES%&IEHKE 7E AE8EAEI'IA 'AKXA7A. Al regresar a la pantalla de 7espliegue de configuracin, 82 y 85 permiten la modificacin o borrado del smbolo en la posicin del cursor. 80, Sal-a y 'ontinGa Sal-a la configuracin acti-a al disco duro; el pr.imo arranque del soft:are de programacin se reali ar con esta configuracin. 7esde la pantalla !onitorea Arc"i-o ?8igura 3902@ 82 BGsqueda "ar que apare ca la pantalla mostrada en la 8igura 39+1. &os prrafos siguientes dan una bre-e descripcin de las funciones de cada una de las teclas de funcin lgica mostradas en esta pantalla. 80 Tipo de BGsqueda Selecciona el tipo de bGsqueda que se -a a reali ar. &a seleccin pasa por las siguientes opcionesD Busca Instruccin*7ireccin, Busca y Aeempla a Instruccin*7ireccin, Busca Ediciones, y Escalones*Instrucciones no 'omentadas. &a seleccin acti-a es mostrada en el recuadro en la parte superior de la pantalla. 8IHKAA 39+1. %AITA&&A 7E BKSQKE7A %ara buscar una instruccin o direccin especfica, se pueden utili ar cualquiera de los m$todos siguientesD 0. Introdu ca la cadena de caracteres a buscar +. Kse 8) Instruccin Acti-a y 82 Cperando Acti-o #unto con las teclas de mo-imiento del cursor para seleccionar un par instruccin 9 direccin. 1. Kse 85 'adena Anterior para buscar la Gltima cadena introducida. 4. Kse 83 &ista de Instrucciones para seleccionar una instruccin desde las teclas de funcin. 86 configuracin de la BGsqueda 7espliega la pantalla mostrada en la 8igura 39+4. 7esde esta pantalla el usuario puede usar las teclas de funcin lgica 85, 83 y 86 para configurar lo siguienteD Pagina @H

85 'onmuta el alcance de la bGsqueda entre H&CBA& ?todo el procesador@ y AA'=I/C KII'A!EITE. 83 'onmuta la direccin de la bGsqueda entre, AAAIBA y ABAVC. 86 'onmuta la funcin de En-ol-imiento entre Acti-ado y 7esacti-ado. ?'on la funcin acti-ada, el programa regresar al inicio o al final del rea de bGsqueda para continuar la misma cuando alcan a el lmite del rea@. 80, Sal-a configuracin Almacena la configuracin acti-a en el disco duro, y se con-ierte en la configuracin por defecto para la pr.ima sesin de programacin. &a tecla ES' regresar el programa a la pantalla anterior y usar la configuracin slo para esa sesin. 8IHKAA 39+4 %AITA&&A 7E 'CI8IHKAA'ILI 7E BPSQKE7A F+ U24;7=S1 G7:7=1; In-oca la pantalla ilustrada en la 8igura 39+). 7esde esta pantalla se pueden "acer las siguientes seleccionesD 8IHKAA 39+) %AITA&&A 7E KTI&EAYA HEIEAA&. 80 7espliega un mapa de memoria como el que se muestra en la 8igura 39+2. 8IHKAA 39+2 %AITA&&A 7E !A%A 7E !E!CAIA 8+ 7espliega el arc"i-o de Estado del %rocesador como se muestra en la 8igura 39+5 8IHKAA 39+5 %AITA&&A 7E ESTA7C 7E& %AC'ESA7CA 82 8acilita el desarrollo y despliegue de un "istograma de contacto ?"istoria cronolgica de acti-idad@ de un contacto que puede ser especificado desde la pantalla =istoria de 'ontacto. 83 Se utili a para escribir un arc"i-o de procesador a una EE%AC! en el mdulo procesador. 86 7espliega una -ista general de los I*Cs inteligentes del sistema ?-er la 8igura 39+3@, y permite la re-isin de la configuracin de los I*Cs. 8IHKAA 39+3 %AITA&&A 7E /ISTA HEIEAA& 7E I*CS. F8 M3:423= 67 D123@ Henera la pantalla mostrada en la 8igura 39+6. &a parte superior de la pantalla que aparece primero depende de la posicin del cursor en el momento de seleccionar esta opcin. En el e#emplo, el cursor estaba en una instruccin con una direccin de entrada, de manera que la tabla de datos de entrada es la que se muestra, con el cursor en el primer bit de la tabla ?Bit ,@. 7esde esta pantalla, se puede seleccionar otra tabla en cualquiera de tres formasD especificando una direccin, presionando 85 %r.imo Arc"i-o para mo-erse al siguiente arc"i-o de datos en secuencia num$rica ?en este caso el siguiente arc"i-o sera el Arc"i-o +, el arc"i-o de Estado@, presionando 83 Arc"i-o Anterior para mo-erse al arc"i-o anterior ?Arc"i-o ,, Tabla de Salida, en este e#emplo@. %ara especificar una direccin, presione 8) e introdu ca la direccin deseada ?no se requiere introducir la direccin completa; por e#emplo, para mo-erse al Arc"i-o Binario 1 slo sera necesario introducirD B1@. 8IHKAA 39+6 %AITA&&A 7E !CIITCA 7E 7ATCS 80 'ambiar Base ?Aadi.@ Pagina @L

%ermite cambiar la seleccin de base num$rica en la que se despliega la informacin; las opciones sonD Binario Cctal 7ecimal =e.adecimal AS'II &a figura 391, es un e#emplo de despliegue en formato Cctal de los datos de la tabla de entrada mostrada en Binario en la 8igura 39+6. 8IHKAA 391, 7ES%&EHA7C 7E 7ATCS EI 8CA!ATC C'TA& 82 !onitor de Estados 8or ados Si se esta monitoreando la Tabla de Entradas o la Tabla de Salidas, presionando esta tecla desplegar la Tabla de Estados 8or ados, mostrando los Estados 8or ados de cada bit. Tambi$n "ay una tecla de funcin que permite alterar el estado for ado de cada bit si se desea ?esta opcin slo es Gtil en modo En &nea@. 86 8or ar 7espliega la pantalla mostrada en la 8igura 3910 y da acceso a la utilera para for ar estados. 7esde esta pantalla, los bits en las tablas de Entrada o de Salida pueden for arse a A'TI/A7C o 7ESA'TI/A7C ?CI*C88@, agregar o borrar de la tabla de estados for ados, se puede "abilitar o des"abilitar los estados for ados y se pueden desplegar las tablas de imagen de Entradas o Salidas. %AE'AK'ILI 'uando un bit se fuer a a A'TI/C ?CI@ o 7ESA'TI/A7C ?C88@, y el arc"i-o es cargado al procesador, la funcin permanece en efecto. &a funcin de 8or ar IKI'A debe de#arse en efecto cuando se -an a reali ar labores de mantenimiento, e.cepto en emergencias e.tremas. Se pueden ocasionar serios da<os al equipo o al personal como resultado de la operacin inesperada de la maquinaria. 8IHKAA 3910 %AITA&&A 7E& !CIITCA 7E ESTA7CS 8CAXA7CS En los prrafos siguientes se describen las teclas de funcin lgica de la pantalla del !onitor de Estados 8or ados. 8or ado 80 7esacti-a ?C88@ 8uer a el bit seleccionado al estado desacti-ado ?,@. 8+ Acti-a ?CI@ 8uer a el bit seleccionado al estado acti-ado ?0@. 81 Borra Aemue-e el bit seleccionado de la tabla de Estados 8or ados. 84 Borra Todos Borra la tabla de Estados 8or ados 8) Especifica Bit =abilita la introduccin de una direccin de bit especfica para ser for ada. 85 =abilita =abilita los Estados 8or ados ?todos los bits que "an sido indicados en la Tabla de Estados 8or ados se A'TI/AI o 7ESA'TI/AI segGn su estado en la tabla@. 83 7es"abilita 7es"abilita los Estados 8or ados ?todos los bits regresan a sus estados normales como dirige la lgica del programa@. 86 !onitoreo de Entradas 7espliega los estados for ados de cada bit en la Tabla Imagen de Entradas. 80, !onitoreo de Salidas Pagina @N

7espliega los estados for ados de cada bit en la Tabla Imagen de Salidas. &a 8igura 391+ muestra la pantalla de la tabla de Estados 8or ados de Entradas con los bits , y 0 for ados a A'TI/A7C y 7ESA'TI/A7C respecti-amente. 'uando un bit es for ado, una leyenda aparece en el diagrama de escaleras cada -e que "ay un bit for ado para indicar este estado. &a 8igura 3911 muestra el diagrama de escalera con una ocurrencia de ND,,,*,, y dos de ND,,,*,0. 8IHKAA 391+ ESTA7CS 8CAXA7CS 7E EITAA7AS. 8IHKAA 3911 IISTAK''ICIES 8CAXA7AS EI E& 7IAHAA!A 7E ES'A&EAAS. F1% E6421= Accesa a la pantalla que permite "acer modificaciones al programa de escalera. &a figura 39 14 muestra esta pantalla. 8IHKAA 3914 %AITA&&A 7E E7I'ILI 80 'ambiar !odo 7espliega una pantalla desde la que se utili an las teclas de funcin para cambiar al procesador entre los modos de EVE'KTAA, %ACBAA y %ACHAA!AA ?tomando en cuenta que la lla-e del interruptor selector del procesador esta en la posicin AE!CTA@. 8+ Edicin de I*Cs Accesa una pantalla para monitorear y re-isar la configuracin de los mdulos inteligentes de I*C. 81 Agregar Escaln*84 Insertar Escaln %osiciona un nue-o escaln ya sea despu$s ?agregar@ o antes ?insertar@ del escaln donde se encuentra el cursor. &a figura 391) ilustra el resultado de presionar 81 con el cursor en el escaln , ?en la parte superior de la ilustracin@. 8IHKAA 391) AHAEHAA ES'A&LI. 7esde esta pantalla se pueden adicionar ramas o instrucciones al escaln. Si se presiona cualquiera de las teclas 81, 84 o 8), aparece una lista de instrucciones en la parte inferior de la pantalla, cada una correspondiendo a la tecla de funcin ?-er la 8igura 3912@. 'ualquiera de estas instrucciones puede ser insertada en el escaln presionando la tecla de funcin apropiada. Se pueden -er otras funciones presionando la tecla 80, Ctras, que despliega otra pgina de instrucciones, como se muestra en la 8igura 3915. %resionando 80, Especiales desde $sta pgina se despliegan instrucciones adicionales como en la 8igura 39 13. %resionando 80, Ctras desde esta pantalla regresa el desplegado a la primera pgina de instrucciones ?8igura 3912@. 8IHKAA 3912 AHAEHAA IISTAK''ILI 8IHKAA 3915 IISTAK''ICIES A7I'ICIA&ES 8IHKAA 3913 IISTAK''ICIES A7I'ICIA&ES 8) !odificar Escaln 'ambia los rieles de alimentacin ?lneas -erticales a los lados del diagrama de escalera@ de lneas slidas a JI>s, como se muestra en la figura 3916, indicando que el escaln se "a marcado para insertarse en el programa. ICTA Si el procesador esta en modo EVE'KTA AE!CTC o %AKEBA AE!CTC, un escaln marcado para ser borrado se mostrar con J7>s en los rieles de alimentacin, y uno marcado para ser reempla ado por el escaln directamente arriba de $l se identifica por JA>s en los rieles de alimentacin. 8IHKAA 3916 %AITA&&A 7E !C7I8I'AA ES'A&LI. Pagina H*

&as opciones presentadas por las teclas de funcin en esta pantalla son id$nticas a aquellas de la figura 391). &as instrucciones pueden insertarse, agregarse, modificarse o borrarse. Si una instruccin es borrada inad-ertidamente, la tecla 85 Aecupera Instruccin restablece la instruccin en su posicin original. %ara introducir una instruccin, se pueden utili ar las teclas de funcin ?-er las 8iguras 3912 a 3913@, o bien se puede introducir el mnemnico de la instruccin deseada desde el teclado. 'uando el tipo de funcin se "a introducido, la direccin de la instruccin se introduce tecleando la direccin lgica o el smbolo, si se conoce. Si la direccin introducida ya esta asignada a un smbolo, el smbolo aparece en la pantalla cuando se presiona EITEA. Algunas instrucciones requieren que se introdu can ms de un -alor o direccin para definir completamente la operacin. Kn e#emplo es la instruccin TCI ?Tempori ador en Aetraso ?Timer Cn97elay@@. 'uando se introduce el mnemnico TCI aparece la pantalla mostrada en la 8igura 394,. 8IHKAA 394, IISTAK''ILI TCI ?TE!%CAIXA7CA EI AETAAXC@. A continuacin introdu ca la direccin asignada al timer nue-o. 'uando se "ace esto, la pantalla mostrar esa direccin, como se muestra en la 8igura 3940, y el enunciado pedir que se introdu ca la Base de Tiempo para el tempori ador. 8IHKAA 3940 IITAC7K''ILI 7E &A BASE 7E TIE!%C El enunciado siguiente, mostrado en la figura 394+, pide se introdu ca el -alor %reestablecido ?%reset@. Al introducir dic"o -alor, aparece la pantalla mostrada en la figura 3941, en la cual se pide se introdu ca el -alor Acumulado. Iormalmente este -alor es cero. Se debe introducir cero u otro -alor. 'uando se "a introducido el -alor Acumulado aparece la pantalla mostrada en la 8igura 3944. 8IHKAA 394+ IITAC7K''ILI 7E& /A&CA %AEESTAB&E'I7C. 8IHKAA 3941 IITAC7K''ILI 7E& /A&CA A'K!K&A7C. 8IHKAA 3944 %AITA&&A 7E IITAC7K''ILI 7E& TE!%CAIXA7CA. 'uando el escaln se "a editado como se desea, se sal-a el escaln en el arc"i-o de procesador presionando 80, Aceptar Escaln. ICTA El escaln debe contener por lo menos una instruccin de salida o el programa no aceptara el comando. Si se desea abandonar el proceso de edicin sin sal-ar los cambios presione ES'. Iormalmente, se desea asociar algunos comentarios o smbolos con la instruccin recientemente creada. Si es as, presione ES' para regresar a la pantalla del !onitor de Arc"i-o ?8igura 3902@, seleccione 7ocumento y despu$s seleccione 'omentario de Escaln, Instruccin o 7ireccin segGn se desee e introdu ca los datos apropiados para identificar la nue-a instruccin. Si se desea , se puede seleccionar !odificar Smbolo desde la pantalla de 7ocumento para modificar un smbolo. El e#emplo en la 8igura 3943 se prepar usando estas opciones. 8IHKAA 394) 7C'K!EITA7C EITAA7AS Kna -e que la instruccin del tempori ador se "a definido y aceptado, otras instrucciones se pueden programar "aciendo referencia al tempori ador. En el e#emplo en la 8igura 3942, se introdu#eron la instruccin SI' y la direccin del tempori ador ?incluyendo el identificador de bit 7I@, y automticamente el programa inserto el smbolo TESTT!A.7I. Pagina H9

8IHKAA 3942 =A'IEI7C AE8EAEI'IA A IISTAK''ICIES. %ara -er un sumario de las instrucciones del Tempori ador, seleccione !onitor de 7atos desde la pantalla del !onitor de Arc"i-o e introdu ca la direccinD T4 ?Io. del arc"i-o tempori ador@. Aparecer la pantalla mostrada en la 8igura 3945 mostrando la configuracin de todos los tempori adores. 8IHKAA 3945 TAB&A 7E 7ATCS 7E TE!%CAIXA7CAES. 7esde la pantalla de Edicin ?8igura 3914@, 82 Borrar un Escaln y 85 Aecuperar un Escaln pueden usarse para borrar el escaln donde esta posicionado el cursor, o para re 9 insertar un escaln que "a sido borrado por equi-ocacin ?a menos que el cambio se "aya sal-ado, en cuyo caso, la funcin de recuperar no tendr otro efecto que el de desencadenar una reaccin infantil y probablemente obscena por parte del usuario@. 83 Edicin A-an ada ?/er la 8igura 3943@ 8acilita las funciones de 'ortar, 'opiar y %egar. Kn escaln o una serie de ellos pueden cortarse o ser copiados de una localidad y ser insertados en otra localidad, sea en el arc"i-o actual o en cualquier otro arc"i-o en el procesador. =ay utileras adicionales para mo-er escalones de y "acia arc"i-os en disco. 'uando un escaln o una serie de ellos es seleccionado para ser cortados o copiados, se copian estos escalones a una ona de memoria temporal ?buffer@, desde donde pueden reescribirse en otra localidad segGn las direcciones del usuario. &a informacin en el buffer puede pegarse ?agregarse@ en tantas localidades como se desea. 'uando se selecciona otro escaln o serie de escalones, o cuando se abandona el programa editor de escaleras el buffer se borra. 8IHKAA 3943 %AITA&&A 7E E7I'ILI A/AIXA7A. A continuacin se da la descripcin de una operacin tpica de cortar y pegar, para mo-er los dos primeros escalones del Arc"i-o + al final del Arc"i-oD 0. %osicione el cursor en el primer escaln a mo-er y presione 80 Seleccionar. El fondo de la pantalla alrededor del escaln es resaltado usando otro color. +. Kse las teclas de mo-imiento del cursor ?flec"as@ para mo-er el cursor "asta el Gltimo escaln a mo-er ?en este caso el escaln 0@. El rea resaltada se mue-e #unto con el cursor "asta alcan ar el Gltimo escaln seleccionado. 1. %resione 8+ 'ortar ?si los escalones se -an a copiar en -e de mo-er, presionar 81 'opiar@. &os escalones , y 0 se copian al buffer temporal. 4. !o-er la posicin del cursor al lugar donde se desea que los escalones sean mo-idos mediante uno de los siguientes m$todos. a. Ksar las teclas de mo-imiento del cursor para posicionarlo en el escaln que seguir a los escalones insertados. b. Aegresar al menG principal de escalera, presionar 84 7irectorio de %rogramas, y seleccionar otro arc"i-o de programa, luego mo-er el cursor a la nue-a posicin deseada. c. Introducir, -a teclado, el arc"i-o destino y el nGmero de escaln y presionar EITEA. ).%resione 84 %egar. &os escalones que se copiaron al buffer se insertan inmediatamente antes del escaln donde esta el cursor. &a 8igura 3946 ilustra los escalones mo-idos en su nue-a ubicacin ?escalones 25 y 23@ al final del arc"i-o. 8IHKAA 3946 ES'A&CIES %EHA7CS %ara cortar o copiar escalones a un arc"i-o en disco, siga el procedimiento antes mencionado para cortar o copiar los escalones seleccionados, despu$s presione 85 'opiar al 7isco. Introdu ca el nombre del arc"i-o en el teclado ?no introdu ca la e.tensin del arc"i-o; el programa le asignar la e.tensin 'A) automticamente@. 80, 7irectorio %egar desplegar el directorio de los arc"i-os %egar e.istentes ?-er la 8igura 39),@. Pagina H2

8IHKAA 39), 'C%IAA A& 7IS'C Si el nombre de arc"i-o ya e.iste, se pedir mediante un enunciado si se -a a sobre escribir el arc"i-o con los datos nue-os. Aesponda al enunciado presionando 83 Si o 80, Io, e introdu ca un nombre de arc"i-o nue-o si es lo apropiado. El arc"i-o se almacenara en el directorioD WI%7SWAA'=W%'&), y puede ser pegado a cualquier lugar usando la opcin 83 %egar 7esde el 7isco de la pantalla de Edicin A-an ada. &a siguiente opcin de la pantalla de Edicin ?8igura 3914@ es 86 %robar Ediciones . Esta funcin permite probar los cambios al programa, pero no los "ace permanentes "asta que el usuario se asegure de que los cambios estn probados. ?AsegGrese de que el procesador esta en el modo EVE'KTA remoto o %AKEBA remoto antes de "abilitar esta funcin.@ %ara llegar a la pantalla de %rueba de Ediciones ?8igura 39)+@, presione 86 %rueba Ediciones, luego responda afirmati-amente al enunciado que aparece, preguntando si se prueban todas las ediciones ?-er 8igura 39)0@. 8IHKAA 39)0 %AITA&&A IITEA!E7IA 7E %AKEBA 7E E7I'ICIES 8IHKAA 39)+ %AITA&&A 7E %AKEBA 7E E7I'ICIES. 'uando se in-oca la pantalla de %rueba de Ediciones, el modo %rueba Ediciones se "abilita automticamente, queriendo decir que, el procesador e#ecuta todas las onas de Insercin ?l@ del programa, y IC e#ecuta las onas a Borrar ?7@ o Aeempla ar ?A@. %ara regresar al modo de %rueba de Edicin 7es "abilitado, presione 86 Io %rueba Ediciones. A"ora el procesador ignora todas las onas y e#ecuta todas las onas 7 y A. 86 conmuta entre los modos de %rueba de Ediciones =abilitado y 7es "abilitado. 'uando las ediciones "an sido probadas ?y SC&C despu$s de que "an sido probadas@,pueden "acerse parte permanente del programa presionando 80, Ensambla Ediciones. &a Gltima opcin de la pantalla de Edicin es 80, 'ancela Ediciones. Esta tecla "ace que todos los cambios "ec"os al programa desde la Gltima -e que se sal-o a disco sean cancelados y borrados. TE'&AS 7E IA/EHA'ILI El programa tiene algunos Jata#os> para accesar las pantallas ms frecuentemente utili adas; estos se listan a continuacinD %AESICIAA A&T9B A&T9' A&T97 A&T9E A&T9! A&T9S A&T9T A&T9S A&T9=Z %AITA&&A Buffer de la instruccin en la lnea de entrada 'ambiar el modo de %rocesador !onitor de 7atos 7esplegado de 'onfiguracin !apa de !emoria BGsqueda 7ocumentacin Aeferencia 'ru ada Ayuda en lnea

Z 'uando se acti-a esta funcin aparece la pantalla mostrada en la 8igura 39)1 Pagina H3

8IHKAA 39)1 %AITA&&A 7E ANK7A 7E E7I'ILI 7esde esta pantalla, 80 Ayuda por Temas desplegara la pantalla mostrada en la figura 39)4. %ara -er la ayuda sobre cualquier Tema listado, teclee el nombre de la funcin como se muestra en el listado y presione EITEA. %ara regresar al Editor desde cualquier pantalla de Ayuda, presione ES'. 8IHKAA 39)4 %AITA&&A 7E ANK7A %CA TE!AS P=3C=1A1>4?: 7: ;S:71 7: ;S:71 %ara reali ar operaciones en lnea, primero es necesario configurar el modo de comunicaciones, dependiendo del dispositi-o de interface que se esta usando y algunos otros a#ustes del sistema. Esto se reali a usando la opcin de 'onfiguracin En 9 &nea. C3:84C9=1>4?: 7: ' ;S:71 Se selecciona desde el !enG %rincipal presionando 8+ 'onfiguracin En 9 &nea. &a opcin por defecto es 05349BTB0 y aparecer en la primera pantalla. Si este es el dispositi-o usado, -erifique los datos de a#uste desplegados y cambie los que sea necesario, usando las teclas de funcin. 'uando todos los datos de a#uste est$n correctos, presione 86 Sal-ar 'onfiguracin para "acer que los nue-os a#ustes apare can automticamente la pr.ima -e que utilice el programa. Si los a#ustes slo se -an a usar una sesin, presione ES' o EITEA. En los prrafos siguientes se da informacin de cada una de las opciones configurables para el BTB0. F# T453 67 >3:7H4?: 'onmuta entre dos opcionesD 7IAE'TA y !K&TI7AC%.7IAE'TA significa que la terminal esta al final de la red 7=F y que tiene una resistencia terminal; !K&TI7AC% significa que la terminal IC esta al final de la red y que IC tiene resistencia terminal. F4 D4=7>>4?: 67 ;1 T7=A4:1; %ide se introdu ca un nGmero de estacin. 'ualquier nGmero entre ,, ?octal@ y 55 ?octal@ es -lido, pero asegGrese de no asignar la misma direccin que otro dispositi-o de la red. F D4=7>>4?: 67; PLC %ide se introdu ca un IGmero de estacin %&'. Este debe ser el mismo establecido por los !icro 9 Interruptores en el %&'. F6 D4=7>>4?: 67; KTK1 %ide se introdu ca la direccin de bit par el BTB0 en la Terminal de %rogramacin ?computadora@. El -alor por defecto es ,,,,00,,,, ?binario@. En algunas aplicaciones, esta direccin debe ser cambiada para e-itar conflicto con otra tar#eta opcional en la computadora. F+ I:27==95>4?: 67; KTK1 %ide se introdu ca la interrupcin de BTB0 ?slo en las tar#etas de la serie B@. El -alor por defecto es IAQ), pero debe cambiarse en algunas aplicaciones ?por e#emplo en la IB! %'9 ST@ para e-itar conflictos con otras tar#etas de la computadora. Si la interrupcin se modifica asegGrese de cambiar el conector de configuracin en el BTB0. Si el BTB0 no es el dispositi-o usado, presione 8+ Seleccionar 7ispositi-o "asta que el dispositi-o correcto se muestra en la pantalla. &as otras opciones adems del BTB0 sonD 05349B& ?T4)@, 05349BT y %uerto Serie ?usado para el 055,9B8+ o el 053)9BE@. 05349B& Esta es la opcin para la terminal de programacin T4). &os a#ustes se describen en los siguientes prrafos. F1 A>>7@3 1 ;1 R76 'onmuta entre &C'A& y AE!CTC, refiri$ndose a las comunicaciones con un %&' en el sistema al cual la terminal esta conectada ?&C'A&@, o a un %&' en una red diferente ?AE!CTC@. Iormalmente, se a#ustara a &C'A&. Pagina H4

F# T453 67 C3:7H4?: 'onmuta entre 7IAE'TC y !K&TAI7C%, como se describe para el BTB0. 84 7ireccin de Terminal Se selecciona para introducir la direccin asignada a la terminal de programacin, ,,955 en octal. F D4=7>>4?: 67; PLC Se usa para a#ustar la direccin para el %&'; debe ser la misma que en el micro 9 interruptor del mdulo %&'. F+ P97:27 L3>1; Se usa para especificar la direccin del mdulo local 053)9BA en la red 7=F, el cual se utili a para establecer comunicaciones entre redes 7=F separadas. Este campo es ignorando si se especific Acceso &C'A& ?80@ a la red. F8 P97:27 R7A323 Se utili a para especificar la direccin del mdulo 053)9BA en el sistema remoto conectado a la red 7=F cuando la comunicacin es entre las dos redes 7=F. As como 85, este campo es ignorado si se selecciono Acceso &C'A& ?80@ a la red. 05349BT Esta es la pantalla para configurar el programa para el mdulo de interface BT. &os a#ustes son los mismos que para el mdulo BTB0, e.cepto que la direccin de bit por defecto para la opcin 82 7ireccin del 05349BT es ,,,,00 ?binario@. %uerto Serie Se utili a para configurar el sistema para la comunicacin usando un mdulo de interface 055,9B8+ o un 053)9BE conectado al puerto serie de la terminal de programacin ?computadora@. &as opciones para esta seleccin se describen aba#o. 80 %uerto 'onmuta la seleccin de puerto entre 'C!0 ?por defecto@ y 'C!+. A#uste esto como sea necesario en funcin de la configuracin de la computadora. 81 /elocidad de 'omunicacin ?Bauda#e@ A#usta la -elocidad de comunicacin en bits por segundo de 1,, a 06,+,, ?es +4,, por defecto@. El mdulo de interface debe ser a#ustado a la misma -elocidad. 84 7ireccin del 7ispositi-o Se usa para a#ustar la direccin de la terminal que esta a#ustado en los !icro 9 Interruptores del mdulo de interface. 8) 7ireccin del %&' Se usa para introducir la direccin del %&' en los interruptores de configuracin. 82 %aridad 'onmuta la seleccin entre %ar ?E-en@, Impar ?Cdd@ o Iinguna ?Ione@, por defecto es Iinguna. 85 7Gple. 'onmuta entre 'C!%&ETC ?8K&&@ y !E7IC ?=A&8@. Si se selecciona 'C!%&ETC, el programa cambia automticamente sus parmetros de configuracin para igualar los a#ustes en el mdulo de interface, independientemente de los a#ustes desplegados. Si se selecciona el modo !E7IC 7K%&ES, los a#ustes deben ser iguales para que la comunicacin tenga $.ito. 83 /erificacin de Error 'onmuta entre los modos de -erificacin de error 'arcter de /erificacin de Bloque ?B''@ y /erificacin de Aedundancia 'clica ?'A'@ ?por defecto es 'A'@. F( A<9@27 67 M?67A Se utili a para configurar la interface de !dem ?-er la 8igura 39))@. 85 'onmuta entre SI y IC para la preguntaD [Ksa !dem\. 83 'adena de Iniciali acin del !dem permite la introduccin de la cadena de iniciali acin del !dem. Esto incluir caractersticas especiales de configuracin, tales como retraso del tono o del pulso marcado, as como los Pagina H5

nGmeros telefnicos a marcar, etc. &os datos pueden introducirse en decimal, octal o "e.adecimal, de acuerdo al prefi#o usado precediendo al dato. &a cadena puede incluir cdigos me clados, siempre que se usen los prefi#os apropiados. &os prefi#os sonD W decimal W. "e.adecimal Wo octal Kn e#emplo de un dato -lido esD JAT7T0,+02,242),,,W.,7> AT es el cdigo de alerta del !dem 7T especfica el marcado de tonos 0,+02,242),,, es un nGmero telefnico, incluyendo 777 cdigo de acceso y cdigo de rea. W. a#usta el formato num$rico a "e.adecimal ,7 es el cdigo AS'II "e.adecimal para un retorno de carro, para terminar y en-iar la cadena. 8IHKAA 39)) %AITA&&A 7E 'CI8IHKAA'ILI 7E& !C7E! P=C/D3> E: LS:71 Este modo puede ser accesado desde el !enG %rincipal, mostrado en la figura 390, o a tra-$s del !enG de Aed 7=F. El !enG de Aed 7=F puede accesarse introduciendo el pat" WipdsWattac", tecleando M=C y luego EITEA. Aparecer la pantalla mostrada en la figura 39 21. En el modo %rogramacin*7ocumentacin En la &nea, todas las funciones de programacin disponibles en el modo %rogramacin*7ocumentacin 8uera de &nea se pueden e#ecutar, as como la obser-acin en lnea de arc"i-os de datos, sal-ar y restablecer arc"i-os del procesador, borrar la memoria del procesador y for ar I*Cs. 'uando se selecciona el modo %rg*7oc En &nea aparece la pantalla mostrada en la figura 39 )2. Esta pantalla es muy similar a la de %rg*7oc 8uera de &nea e.cepto que la tecla 8+ esta etiquetada Sal-ar*Aestablecer en -e de Sal-ar*!e clar, la tecla 84 esta etiquetada 'ambiar Estacin en -es de 'ambiar Arc"i-o y "ay una funcin adicionalD 8) Qui$n Esta Acti-o. 8IHKAA 39)2 %AITA&&A 7E %rg*7oc EI &YIEA F1 F9:>43:7@ 67; P=3>7@163= &lama la pantalla mostrada en la figura 39)5. En esta pantalla "ay disponibles -arias opciones, como se describen a continuacin. 80 'ambio de !odo 'ontrola el modo del procesador si la lla-e del interruptor en el procesador esta en modo Aemoto. &as selecciones son EVE'KTAA, %ACHAA!AA y %ACBAA. Kna leyenda desplegada #usto arriba del smbolo 80 indica el modo acti-o del procesador. 8+ 'ambiar 'la-e de Acceso %ermite la introduccin, re-isin o borrado de una cla-e de acceso que controla el acceso a los arc"i-os de memoria del procesador. Si un arc"i-o tiene proteccin de 'la-e de Acceso, sus arc"i-os de programa no pueden ser -istos o editados sin que se introdu ca la cla-e de acceso. 8IHKAA 39)5 %AITA&&A 7E 8KI'ICIES 7E& %AC'ESA7CA. 8+ Introducir*!odificar En la pantalla de 'ambiar 'la-e de Acceso se pide, mediante un enunciado, se introdu ca una cla-e de acceso nue-a. &a cla-e puede tener "asta 3 caracteres de longitud ?A9X, a9 ,,9 6@. 'uando la cla-e de acceso se "a introducido ?no ser mostrada en la pantalla@, aparece un enunciado pidiendo la -erificacin. Introdu ca la cla-e de nue-o, e.actamente como se introdu#o la primera -e . Pagina H@

'uando se sal-a el arc"i-o procesador, la cla-e se almacenar con este. 84 Borrar la 'la-e de Acceso Se utili a para eliminar la cla-e de accesa; primero es necesario dar la cla-e para tener acceso al arc"i-o procesador. &uego cuando se presiona 84 la cla-e es eliminada. 84 Borrar la !emoria Borra %EA!AIEITE!EITE la memoria del procesador, siempre que el micro 9 interruptor en el mdulo %&' este en la posicin %roteccin de !emoria 7es "abilitada. Si el interruptor esta en la posicin =abilitada, la tecla 84 no tendr efecto. En este caso, la forma ms rpida de borrar la memoria es quitando la batera de respaldo del procesador, quitando la alimentacin del gabinete y luego reinstalar la batera y alimentar de nue-o. Si se selecciona la funcin de Borrar la !emoria, el programa pedir la confirmacin. Si la respuesta es afirmati-a y la %roteccin de !emoria, esta des "abilitada, la memoria se borrar y el nombre del procesador se cambiar a 7E8AK&T. 8) Borrar Arc"i-o Se usa para borrar un arc"i-o de programa del procesador. Se mue-e el cursor al arc"i-o a borrar en la lista de programas , o se introduce en el teclado el nGmero o el nombre del arc"i-o. Si se responde afirmati-amente al enunciado de -erificacin el sistema borrar el arc"i-o seleccionado y lo eliminar de la lista. 82 'rear Arc"i-o Se usa para iniciar un nue-o procesador, o para crear un arc"i-o de programa que se agregar al arc"i-o procesador e.istente. 'uando se selecciona esta funcin, se pide introducir un nGmero de arc"i-o. Si se desea editar el arc"i-o inmediatamente, presione 83 !onitorear Arc"i-o tan pronto como se de el nGmero de arc"i-o y proceda con la edicin normal. Si no se usan nGmeros de arc"i-o consecuti-os, el programa asignar nGmeros intercalados y automticamente se listar cada uno de estos como II7E8III7C, con cuatro palabras de memoria asignadas a cada uno. %ara a"orrar espacio de memoria se debe limitar o e-itar esta situacin. 86 Aenombrar %rocesador Se usa para asignar un nombre nue-o a un arc"i-o procesador, o para cambiar un nombre e.istente. A todos los arc"i-os creados subsecuentemente y que son de soporte a un arc"i-o procesador ?comentarios*smbolos, documentacin etc.@ se les asignar el nombre del arc"i-o procesador. El nombre del arc"i-o procesador puede tener cualquiera de los siguientes caracteresD A9X, a9 , ,96. ICTA Si se cambia el nombre del procesador, los arc"i-os de bases de datos no se cambiarn para corresponder al nue-o nombre del procesador. Ser necesario entonces usar las opciones de utilerias para renombrar los arc"i-os. 80, 'ambiar Iombre de Arc"i-o Se utili a para asignar el nombre de arc"i-o a un arc"i-o de programa o para borrar un nombre de arc"i-o de la lista de la lista de programas cuando el arc"i-o "a sido borrado. &os mismos caracteres usados en el nombre del procesador se pueden usar para el nombre del programa. &os nombres de arc"i-o de programa son almacenados en el arc"i-o de programa ,. F2 S1;B1=/C1=C1= Slo se puede utili ar En &nea. 'uando se selecciona esta funcin aparece la pantalla mostrada en la 8igura 39)3. 7esde esta pantalla, si se selecciona 8+ Sal-ar %rograma, se copiar la memoria del procesador al disco con el nombre de arc"i-o acti-o o uno asignado por el usuario. &a opcin 84 'argar, copiara el arc"i-o de procesador acti-o, o el asignado Pagina HH

por el usuario, desde el disco a la memoria del procesador. &os siguientes prrafos describen los procedimientos para cada opcin. 8IHKAA 39)3 %AITA&&A 7E SA&/AA*'AAHAA 8+ Sal-ar 7espliega la pantalla mostrada en la 8igura 39)6. 7esde esta pantalla 82 despliega el directorio de los arc"i-os e.istentes. 85 "abilita al usuario a definir el pat" para sal-ar arc"i-os de procesador. Si el nombre del procesador que introduce el usuario ya e.iste, se despliega el mensa#e 8I&E A&AEA7N ESISTS ?E& AA'=I/C NA ESISTE@. Entonces se puede cambiar el nombre o se puede sobre escribir el arc"i-o a discrecin del usuario. 80 Inicia Cperacin Establece comunicacin e inicia el proceso de transferencia. Se puede abortar el proceso presionando 'TA&9X; se pierde la -ersin -ie#a del arc"i-o de memoria del procesador. 'uando se termina el proceso, cualquier tecla regresar el programa a la pantalla %rg*7oc en &nea. 8IHKAA 39)6 %AITA&&A 7E SA&/AA %AC'ESA7CA 84 'argar Arc"i-o 7esplegar la pantalla mostrada en la 8igura 392,. Esta funcin borrar la memoria del procesador ?su#eto a las condiciones descritas arriba para la funcin Borrar !emoria@ y transfiere una copia del arc"i-o de procesador del disco a la memoria del procesador. El arc"i-o a cargar se selecciona de la siguiente maneraD Z !o-iendo la barra de seleccin al arc"i-o deseado en la lista de programas ?se puede usar 85 para definir el desplegado a desplegar en la pantalla@. Z Introducir el nombre completo del arc"i-o de procesador ?si no se conoce el nombre completo, el programa buscar un arc"i-o de procesador que se seme#e al nombre introducido@. 8IHKAA 392, %AITA&&A 7E 'AAHAA AA'=I/C 81 Selecciona Arc"i-o 7espliega el nombre del arc"i-o de procesador seleccionado en la -entana de 'argar Arc"i-o. 80 Inicia Cperacin Inicia la transferencia, con un mensa#e en la pantalla de que se est cargando el arc"i-o. 'uando se establece la comunicacin con el %&', la ona de estado de la pantalla muestra el nGmero de procesador al que se esta cargando el arc"i-o, y el nGmero total de palabras cargadas desde las tablas de datos, programa I*C e I*C for ados. El proceso de transferencia puede ser abortado presionando 'TA&9X; la memoria del procesador se borrar y el proceso de cargado se cancelar. 'uando la transferencia es completa, cualquier tecla regresa el programa a la pantalla %rg*7oc En &nea . 81 Aegresar al !enG Aegresa el programa al !enG %rincipal o al !enG de la Aed 7=F. 84 'ambia Estacin 7espliega una lista de las estaciones que "an sido detectadas en la red 7=F de las cuales se puede seleccionar alguna para reali ar ms %rogramacin*Edicin en &nea. 8) Qui$n Esta Acti-o 7espliega una tabla listando todas las direcciones de nodos. &os dispositi-os acti-os en la red 7=F se identifican por su tipo y se les asigna un nGmero de estacin. El desplegado resaltado de la terminal en uso estar parpadeando, as como el indicador de otra estacin para la cual la configuracin actual esta programada. %ara seleccionar otra estacin para comunicarse con la terminal, posicione el cursor en la estacin deseada y presione 80 %rg*7oc En &nea o 8+ 7iagnstico de Estacin segGn sea apropiado. 80 %rg*7oc En &nea Pagina HL

Establece comunicaciones con el dispositi-o seleccionado y llama el !enG %rogramacin*7ocumentacin ilustrado en la 8igura 39)2. 8+ 7iagnstico de Estacin 7espliega una pantalla de diagnstico para el dispositi-o seleccionado. &a 8igura 3920 es una muestra de una pantalla tpica de diagnstico. Aefi$rase al manual de usuario del soft:are de programacin para informacin ms detallada del uso de los diagnsticos. 8IHKAA 3920 %AITA&&A 7E 7IAHILSTI'C 7E ESTA'ILI 82 'rear Aeportes 8uncionalmente es lo mismo que la opcin de 'rear Aeportes disponible en la opcin 8uera de &nea. 83 !onitorea Arc"i-o Es la tecla que da acceso al editor de escaleras. Cpciones QKIEI ?M=C@ &as opciones QKIEI permiten un m$todo rpido de determinar el tipo y la direccin de cada estacin conectada a la red 7=F y para establecer comunicaciones con las estaciones seleccionadas en la red. Kna de las opciones QKIEI ?QKIEI Acti-o@ se describi en la seccin anterior. &a otra, M=C Escuc"a esta disponible desde la seleccin 8) QKIEI del !enG %rincipal o del !enG de Aed 7=F presionando la tecla 84 Qui$n Escuc"a. Kn J0> aparece en el espacio de la direccin para cada estacin acti-a en la red. &a opcin de 'onfiguracin en &nea de este menG permite configurar la interface con caractersticas diferentes a aquellas dadas en el !enG %rincipal con la opcin 'onfiguracin en &nea. %ara regresar a los -alores establecidos en la funcin 'onfiguracin en &nea, ser necesario abandonar la operacin QKIEI y re iniciar el soft:are en el !enG %rincipal. TAAIS8IAIEI7C AA'=I/CS 7E %ACHAA!A El !enG %rincipal tiene una opcin ?85 Cpciones de Arc"i-o@ que ofrece m$todos con-enientes de transferir arc"i-os desde y "acia el soft:are de programacin, y para renombrar, copiar o borrar arc"i-os. 7esde la pantalla de Cpciones de Arc"i-o, las siguientes opciones estn disponiblesD 81 Aenombrar &a pantalla que aparece en respuesta a esta seleccin permite seleccionar los tipos de arc"i-o a ser renombrados. Kna -e que se "an seleccionado los tipos de arc"i-o, aparecern los enunciados de nombre de arc"i-o 8KEITE y 7ESTIIC. Siga los enunciados para introducir estos nombres de arc"i-o, luego presione 80 Inicia Cperacin para completar la funcin. 84 'opiar Se usa para transferir arc"i-os del directorio de programas definido por el soft:are 2+,,. &as selecciones para esta opcin son id$nticas que aquellas para la opcin Aenombrar, e.cepto que esta operacin resulte en dos arc"i-os id$nticos con diferentes nombres. &a seleccin de los nombres de arc"i-o y la forma de completar la operacin se lle-an a cabo en la misma forma que con la funcin Aenombrar. 8) Borrar Se usa para borrar los arc"i-os seleccionados del directorio 2+,,. &os procedimientos son iguales que para Aenombrar y 'opiar. 82 Importar y 85 E.portar Se usa para transferir arc"i-os AS'II ?te.to@ desde y "acia la base de datos. &os arc"i-os de 7ocumentacin pueden transferirse usando esta utilera, y se puede usar un editor de te.to estndar para modificar los arc"i-os. Aefi$rase al manual de usuario del soft:are de programacin, %ublicacin IGmero 2+,,92.).), para instrucciones ms detalladas. Pagina HN

85 !e clar Se utili a para me clar arc"i-os de documento. 7esde esta pantalla pueden seleccionarse los nombres de arc"i-o 8uente y 7estino, y el usuario puede seleccionar una pantalla separada para cambiar las caractersticas de la utilera de !e clar. &a pantalla de Cpciones de seleccin ?8) de la pantalla de !e clar 7ocumentacin@ tiene las siguientes opcionesD 80 En 'olisin 'onmuta entre Sobre escribir y 7escartar como porciones para el caso en que se encuentren entradas duplicadas o en conflicto en los arc"i-os a me clar. Kn e#emplo de 7uplicidad esD Arc"i-o 8uente Arc"i-o 7estino lD,*00 S1++ lD,*00 S1++ !isma direccin, mismo Smbolo Kn e#emplo de 'onflicto seraD Arc"i-o 8uente Arc"i-o 7estino lD0 *00 S130 lD0 *00 S133 !isma 7ireccin, mismo Smbolo

8)983 'onmutan las selecciones de Smbolos, 7irecciones, 'omentarios, 'omentarios de instruccin y de Escaln entre !e clar y no !e clar. 86 Sal-ar 'onfiguracin =ace que los cambios "ec"os queden por defecto para la pr.ima sesin de programacin. Si los cambios slo se usarn en la sesin actual, presione EITEA o ES'. 80, Seleccionar Aango 8acilita la seleccin de los arc"i-os a ser me clados; se usan las designaciones por letra de los tipos de arc"i-o ?la tabla de tipos de arc"i-o a continuacin es para referencia @. 7esignacin A B ' 7 8 l I C A S T Tipo de Arc"i-o AS'II Bit 'ontador B'7 %unto 8lotante Entrada Entero Salida 'ontrol Estado Tempori ador

86 Transferir Es el m$todo para seleccionar arc"i-os y dirigirlos para ser transferidos entre los directorios del soft:are de programacin y localidades e.ternas de almacenamiento. 7esde la pantalla de esta opcin, la seleccin se "ace para transferir arc"i-os "acia los directorios 2+,, o desde ellos. Kna -e que se "ace esta seleccin, aparece una pantalla desde la que se seleccionan los arc"i-os a transferir. 'uando se "an "ec"o las selecciones, aparece otra Pagina L*

pantalla donde se pueden introducir los pat"s de los arc"i-os 8KEITE y 7ESTIIC. 7esde esta pantalla, se inicia la transferencia con 80 inicia Cperacin.

Pagina L9

PROGRAMACIN DEL PLC El %&'9) se programa usando la estructura de diagramas de escalera, e#ecutando una serie de instrucciones almacenadas en arc"i-os de programa. El %&' reali a instrucciones secuenciales y actuali a sus entradas*salidas de acuerdo con las situacin de cada lnea del diagrama de escalera. ARC1IJOS DEL PROCESADOR &as instrucciones y los datos que utili a el %&' para reali ar sus funciones estn clasificados en arc"i-os del programa y arc"i-os de datos. 'ada uno de los arc"i-os esta asignado a un nGmero especifico de arc"i-o de acuerdo con su propsito. &os arc"i-os del procesador son partes del arc"i-o SSSSS I.A'=, que es el diagrama de escalera e#ecutable. &os arc"i-os del programa son numerados como sigueD ARC1IJO DE PROGRAMA 9 'arta de funciones secuenciales ?no usada por Solar@. ARC1IJO DE PROGRAMA 2 Arc"i-o de programa principal; contiene el arc"i-o principal del programa de escalera, consiste principalmente de series de VSA]s ?Salto a Sub programas@ que dirigen al %&' a reali ar sub programas en orden de urgencia y prioridad. ARC1IJO DE PROGRAMA 3 AL NNN Estos nGmeros de arc"i-o son seleccionados de la siguiente tabla cuando la unidad es dise<ada, de acuerdo al producto, aplicacin y equipo especial requerido para la instalacin ?algunos de los arc"i-os son comunes a ms de un producto y*o aplicacin y algunos son Gnicamente para un producto*aplicacin especfico.@D EVE!%&C 7E AA'=I/CS 7E %ACHAA!AS 7E& + N 1 EI A7E&AITE %AAA &AS TKABIIAS JSC&AA> HAK%C 'C!.SIST 'C!.SIST 'C!.SIST 'C!.SIST 'C!.SIST 'C!.SIST 'C!.SIST 'C!.SIST 'C!.SIST IK!E AC + 1 4 ) 2 5 3 6 0, IC!BAE A%&I'A'ILI !AII^%A !A, IC'CI8I HA, A7^MA^I CA, AE7I^%A IA, 8AK&T^A , 7IAHICS TA, AIIKI^ A, AK7IB&E ^A, STATKS^ %ACHAA!A %AII'I%A& 'CI8IHKAA'ICI 7E EITAA7AS N SA&I7AS TAAIS8EAEI'IA 7E B&CQKES 7E ES'AITKAA * &E'TKAA %AIE& 7E IITEA8ASE 8A&&A 7E& !I'AC%AC'ESA7CA 8A&&A 7E !C7K&C 7E& %&' SISTE!A 7E AIKI'IA7CAES A&AA!AS AK7IB&ES ESTA7C 7E& SISTE!A N !C7C 7E Pagina L2

'C!.SIST 'C!.SIST AAA.*%AAC

00 0+ 0)

A, 7=^!ES SHA, ST^=A!T AA, STAKI^B AA,

'CITAC& 7ES%&EHA7C N EI&A'E SEAIE AAAAIQKE 'CITA7CA 7E =CAAS SE'KEI'IA BASI'A 7E AAAAIQKE 7E &A !AQKIIA

ARC1IJOS DE DATOS. =asta 0,,, arc"i-os pueden ser almacenados en la memoria del procesador y cada uno puede consistir "asta de 0,,, elementos. 7ependiendo de el tipo de arc"i-o cada elemento es de 0, + o 1 palabras de longitud. &os primeros nue-e arc"i-os de datos ?, a 3@ son asignados automticamente dentro las siguientes categorasD IK!EAC 7E AA'=I/C , 0 + 1 4 ) 2 5 3 IK!EAC !ASI!C 7E E&E!EIT CS I!AHEI 7E SA&I7A C 1+ I!AHEI 7E EITAA7A I 1+ ESTA7C 7E& %AC'ESA7CA S 1+ BIT B 0,,, TIE!%C 7E AETAA7C T 0,,, 'CITA7CA ' 0,,, 'CITAC& A 0,,, EITEAC I 0,,, %KITC 8&CTAITE 8 0,,, AS'II A Solamente 7esplegado B'7 7 Solamente 7esplegado TI%C %A&ABAA* E&E!EITC

0 0 0 0 1 1 1 0 +

&a estructura del arc"i-o del %&'9) permite la creacin de "asta 665 arc"i-os de "asta mil elementos cada uno. &a direccin inicial de cada arc"i-o ser la palabra ,. Si este fuera el procedimiento normal, sera indeseable que cualquier arc"i-o usar una proporcin apreciable de los mil elementos alo#ados, ya que un gran nGmero de arc"i-os sera probablemente creados. Kn arreglo en alternati-a podra ser el di-idir cada arc"i-o en mGltiples bloques de datos, cada uno -endra siendo el equi-alente a un arc"i-o separado. En este m$todo de organi acin, cada bloque de datos tendra una sola direccin inicial dentro del arc"i-o, como es I5D0,, I00D0), etc. &os t$rminos arc"i-o y bloque de datos pueden ser usados indiferentemente. El arc"i-o puede tener dos significadosD Kn arc"i-o de proceso teniendo un nGmero ?19666@, un arc"i-o de datos que tenga una direccin de inicio, como sera I5D0,, I00D0), etc., dentro de un arc"i-o. 'omo se e.plica despu$s en este cuaderno de traba#o, el procesador reconoce once tipos de arc"i-o, cada uno de los cuales contiene un solo tipo de dato ?se enlista aba#o como referencia@. Kn arc"i-o se identifica por un prefi#o ?letra@ especificando el tipo, y un nGmero para distinguir entre arc"i-os. &a lista que a continuacin se muestra tiene asignaciones recomendadas para los primeros nue-e nGmeros; los Jestndares> Solar para otros se enlistan en el diagrama de JSoft:are> compuesto. Pagina L3

&os arc"i-os por omisin ,9+ son creados automticamente cuando la memoria es limpiada. &os arc"i-os 193 tienen una longitud por omisin de un elemento. &os datos dentro de un arc"i-o pueden ser di-ididos en -arios bloques ?arc"i-os@, cada uno identificado por una sola direccin de inicio, como se muestra en la figura )94. 8IHKAA )94. 'ada elemento tambi$n se identifica por su direccin Gnica ?figura )9)@. 8IHKAA ).) %AAA!ETACS 7E 7IAE''ICIA!IEITC El direccionamiento de un bloque de datos contieneD Z El prefi#o de tipo de arc"i-o ?alfanum$rico@. Z El nGmero del primer elemento a ser identificado. El primer elemento en un bloque de datos puede ser cualquiera de cero a 666 dentro del arc"i-o. %or e#emplo, arc"i-os de datos enteros no pueden ser direccionados como _I5D,, _I5D01 _I41D36 ?la I especifica un arc"i-o entero, 5 es el nGmero de arc"i-o entero por omisin y los nGmeros siguientes especifican el primer elemento del bloque de datos@. Se debe tener cuidado que un bloque de datos no se e.tienda en otro arc"i-o adyacente. %or e#emplo, el arc"i-o entero _I5D,, no puede tener ms de dos elementos ?,900@ si el arc"i-o adyacente comien a con _I5D0+. &os arc"i-os del 6 al 666 pueden ser asignados como de cualquier tipo. &a siguiente tabla lista las asignaciones tpicas a los arc"i-os usados en unidades SolarD TAB&A 7E 7IAE''ICIA!IEITC 7E 7ATCS KSA7CS %CA E& J%&'>, EI TKABIIAS JSC&AA> 7IAE''ILI BB*II B0,*09000 B0,*00+9+00 B0,*+0+9+46 B0,*+),9+56 B0,*+3,9106 B0,*1+,911) B0,*1129445 B04*II B0)*II B02*II ')DII 8BDII 801DII 7ES'AI%'ILI JBITS> KSA7CS IITEAIA!EITE %CA ES'A&EAA &CHI'A JBITS> KSA7CS %CA &CS AIKI'IA7CAES 7E A&AA!A JBITS> KSA7CS %CA AIKI'IA7CAES J8S&> JBITS> KSA7CS %CA AIKI'IA7CAES J8SI> JBITS> KSA7CS %CA AIKI'IA7CAES J'S&> JBITS> KSA7CS %CA AIKI'IA7CAES J'SI> JBITS> KSA7CS %AAA 'CITAC& 7E& 7ES%&EHA7C T1) JBITS> KSA7CS %AAA II7I'A'ILI 7E ESTA7C 7E SE'KEI'IA JBITS> K. %AAA &A 'CI8IHKAA'ILI 7E EIT.*SA&. 7E& HEI. JBITS> K. %AAA &A 'CI8IHKAA'ILI 7E EIT.*SA&. 7E& 'C!%. JBITS> KSA7CS 'C!C 'C!AI7CS 7E TE'&A7C E&E!EITCS 'CITA7CAES E&E!EITCS 7E %KITC 8&CTAITE KSA7CS EI 'A&'K&CS E&E!EITCS 7E %KITC 8&CTAITE KSA7CS Pagina L4

IDII*II I00DII I0+DII I05DII I03DII9 I2)DII I5,DII I3,DII9 I30DII CDII*II SDII*II T4DII

'C!C 'CISTAITES T. 7E 7IAE''. 7E I!AHEI 7E EITA. 7E EITAA7AS 8ISI'AS E&E!. EI E& 'AIA& 7E 7ATCS !AS AA'=I/CS 7E !EISAVES E&E!. EI E& AA'=I/C 7E& AIKI'IA7CA 7E %AI!EAA SA&I7A E&E!EITCS 7E& B&CQKE 7E TAAIS8EAEI'IA 7E 'CITAC& AA'=I/CS 7E 7ATCS 7E& B&CQKE 7E TAAIS8EAEI'IAS ESTA7C 7E EIT.*SA&. AA'=I/C 7E& ESTA7C 7E& SISTE!A E&E!. 'AIA& 7E 7ATCS !AS !EISAVES 7E 'CITA. 7E B&CQKES T. 7E 7IAE''. 7E I!AHEI 7E SA&. 7E SA&I7AS 8ISI'AS %A&ABAAS N JBITS> 7E& ESTA7C 7E& %AC'ESA7CA E&E!EITCS TE!%CAIXA7CAES

ARC1IJOS DE COMENTARIOS GS^M=OLOS. Adems de los arc"i-os del procesador que se cargan a la memoria del %&'9), los arc"i-os de comentarios*smbolos contienen informacin e.plicatoria que ayuda al programador y al t$cnico a interpretar la funcin y el estado de los elementos lgicos del programa. Estos arc"i-os deben estar presentes en el disco duro de la terminal de programacin para ser -istos con comentarios y smbolos de direcciones. A continuacin se listan los nombres de los arc"i-osD nnnnn^AC.B+` nnnnn^AC.S7` nnnnn^AC.BC` nnnnn^AC.TT& nnnnn^AC.BC` nnnnn^AC.B0` nnnnn^AC.IS` nnnnn^AC.C%` nnnnn^AC.A'` nnnnn^AC.%'` nnnnn^AC.&S` nnnnn^AC.IC7 'ross9reference 8ile 'ross9reference 8ile 'ross9reference 8ile Title 8ile for 7ocumentation Aeports 'omments*Symbols 'omments*Symbols 'omments*Symbols 'omments*Symbols 'omments*Symbols 'omments*Symbols 'omments*Symbols I*C Engineering Knits

ICTA &as Jnnnnn> en los nombres de arc"i-o representan el nGmero de Crden de /enta para el programa. JA> es la -ersin del soft:are. JC> es la -ersin actuali ada del soft:are ?debe ser , para todos los arc"i-os en-iados a campo@.

Pagina L5

DIRECCIONAMIENTO El sistema usado para identificar y almacenar datos en la memoria del procesador, y para adquirir o en-iar datos a*de dispositi-os e.ternos, utili a el nombre de arc"i-o mnemnico el arc"i-o, el gabinete y grupo ?para direccionamiento de entrada.*salida.@, el elemento, y en la mayora de los casos, el nGmero de Jbit> o mnemnico. NEMNICO DEL NOMBRE DE ARC!I$O Es la designacin alfab$tica del tipo de arc"i-o, como se indica en la tabla de tipos de arc"i-o en la pgina anterior. C es el mnemnico para la imagen del arc"i-o de salida, l para la imagen del arc"i-o de entrada, y as sucesi-amente. N*MERO DE ARC!I$O El nGmero de arc"i-o identifica el arc"i-o especfico a ser direccionado, como en la tabla en la pgina pre-ia. El arc"i-o T4, por e#emplo, contiene todos los tempori adores usados en el programa. Io siempre es necesario incluir el nGmero de arc"i-o en la direccin. Tablas de imgenes de entrada y salida solo pueden ser arc"i-os , y 0, respecti-amente, por lo tanto no es necesario incluir el nGmero de arc"i-o cuando se direccionen E*S. 7e la misma manera, el arc"i-o de estado es siempre el AA'=I/C +, por lo que este arc"i-o se direcciona simplementeD JSD.*.>. GABINETE/GRUPO &os mdulos de E*S son asignados a un Habinete y a un nGmero de Hrupo de acuerdo con su posicin en el '"asis de E*S. El mdulo adyacente en la primera ranura a el mdulo J%&'> en el gabinete local ?Habinete ,@ es el grupo ,. El siguiente grupo a la derec"a es el Hrupo 0, y el resto esta numerado en secuencia, "asta el nGmero 5, que es el m.imo nGmero de grupo que puede ser asignado a el gabinete. &a siguiente ranura es entonces el primer grupo ?HAK%C ,@ del Habinete 0, y as sucesi-amente. ELEMENTO El nGmero de elemento es ,915 ?3@ para E*S, ,910 ?0,@ para arc"i-os de estado, y ,9666 ?0,@ para todos los otros tipos de arc"i-o. El nGmero de elemento es separado del nGmero de arc"i-o por el smbolo ?D@. ICTA En direcciones de arc"i-os de Tempori adores, 'ontadores y 'ontrol, es costumbre usar un nombre identificador de sub 9 elemento, seguido del nGmero del elemento. &os sub 9 elementos direccionables para un arc"i-o de tempori ador o contador sonD .%AE ?-alor prefi#ado@ y .A'' ?-alor acumulado@, y para arc"i-os de 'ontrolD .&EI ?longitud@ y .%CS ?posicin@. N*MERO DE BIT El Jbit> de direccin puede ser especificado en dos formatos. 7ependiendo de cuando el nGmero de elemento es usado o no. Si el nGmero de elemento es usado, el Jbit> del nGmero puede estar en el rango de ,90) ?0,@, donde cada elemento es de 02 Jbits> de longitud ?una palabra@. El tercer Jbit> en un elemento, por e#emplo, ser numerado Jbit> +; el d$cimo ser el Jbit> 6, etc. Si el nGmero de Jbit> puede estar en el rango de , a 0)666 ?0,@, donde el arc"i-o puede ser de "asta 0,,, elementos de 02 Jbits> de longitud. El nGmero de Jbit> de el ni-el pre-io de direccionamiento por el smbolo ?*@. ICTA

Pagina L@

%ara direcciones de arc"i-os de Tempori adores, 'ontadores y 'ontrol, un Jbit> puede ser especificado mediante su nGmero o por el mnemnico de identificacin de su funcin, como se muestra en la siguiente tablaD Bit 0) 04 01 0+ 00 0, 6 3 Tempori ad or EI ?=abilitado@ TT ?Tiempo@ 7I ?E#ecutado@ ^ ^ ^ ^ ^ 'ontador 'K ?Ascendente =abilitado@ '7 ?7escendente =abilitado@ 7I ?E#ecutado@ C/ ?Sobre flu#o@ KI ?Ba#o flu#o@ ^ ^ ^ 'ontrol EI ?=abilitado@ EK ?7escarga =abilitada@ 7I ?E#ecutado@ E! ?/aco@ EA ?Error@ K& ?'argado@ II ?in"ibido@ 87 ?&ocali ado@

?&a e.presin de direccionamientoD T4D,,.7I es funcionalmente id$ntica a la e.presin T4D,,*01.@ EVE!%&CS &os siguientes son e#emplos de e.presiones de direccionamientoD B1D0)*) JBit> de arc"i-o 1, 02a elemento, 2a Jbit> ?toda secuencia de arc"i-o, elemento y nGmero de Jbit> comien a con ,@. B1*+4) JBit> de arc"i-o 1,+42a Jbit> ?Similar al primer e#emplo, empie a con JBit> ,, el Gltimo Jbit> del Elemento 04 es el JBit> +16, relati-o a la posicin del arc"i-oD el 2a Jbit> del elemento 0) es el JBit> +4)@. lD,4*0+ Tabla de imgenes de entrada para el mdulo en el Habinete ,, Hrupo 4, 00 Jbit> ?Todas las direcciones de E*S se especifican en notacin CctalD los primeros 3 Jbits> en la tabla son numerados ,95 ?3@, los siguientes 3 son 0,905 ?3@. DIRECCIONAMIENTO INDIRECTO A -eces, puede ser con-eniente o necesario almacenar una direccin en una locacin de memoria, la cual puede ser referenciada por otro programa en operacin. El smbolo # designa un direccionamiento indirecto. &a direccin en la cual el nGmero del direccionamiento indirecto se almacena se marca entre par$ntesis ? []@, como en el siguiente e#emploD Suponga que el ob#eti-o es dirigir el procesador a la direccin 83D,, sin embargo una condicin especfica est en la escalera lgica. Esa direccin puede ser almacenada en una locacin de arc"i-o entero, I5D+, por e#emplo. En el programa, la direccin indirecta #8[I5D+,] podr entonces dirigir el procesador a la direccin 83D,. DIRECCIONAMIENTO INDEXADO Esta forma de direccionamiento "abilita al programador a dar un -alor inicial de direccionamiento por un nGmero de palabras determinado por. Este -alor inicial es almacenado en el arc"i-o de estado del procesador ?palabra +4@, y puede ser manipulado por el programa. El procesador comien a su operacin en una direccin especfica ms el -alor inicial. El smbolo para un direccionamiento inde.ado es #. A7/EATEI'IA Pagina LH

&CS AA'=I/CS 7E IISTAK''ICIES A&TEAAI &A %A&ABAA III'IA& A&!A'EIA7A EI &A %A&ABAA +4 7E& AA'=I/C 7E ESTA7C. ASEHKAE'E /EAI8I'AA N*C 'AAHAA E& /A&CA 7ESEA7C EI SD+4 AITES 7E IISEATAA KIA IISTAK''ILI 'CI KIA 7IAE''ILI II7ESA7A. EVE!%&C En el e#emplo, una direccin inde.ada se indica por el smbolo # tanto en la direccin 8KEITE como en la 7estino. Si el -alor inicial almacenado en SD+4 es 0,, el procesador accesa la direccin entera sumando 0, al -alor especificado en la instruccin.

A77 A77 SCKA'E A SCKA'E B 7EST _I5D, I0,D, _I00D)

En este e#emplo, el procesador utili a la siguiente direccinD 8KEITE ADn5D, !AS 0, T I5D0, 8KEITE BDI0,D, 7ESTIIC I00D) !AS 0, T I00D0) CONSTANTES DEL PROGRAMA Kn entero ?cualquier nGmero@ o de punto flotante ?nGmero decimal@ -alores de constantes pueden ser introducidas en el programa directamente. Si la constante es mayor que 0,,+4 ?0,@, usa dos palabras de memoria. Kna -e que est constante es introducida, slo puede ser alterada mediante la terminal de programacin ya sea en lnea en modo programa. El rango de -alores enteros que pueden ser introducidos es de 91+, 523 ?0,@ a F 1+,525 ?0,@. IGmeros de punto flotante desde 90.05)4644e913 a F2.3,)2451e13 pueden ser introducidos. CONTUNTO DE INSTRUCCIONES El %rocesador puede e#ecutar un rango de instrucciones de -arios tipos y comple#idad, este rango -a desde una simple instruccin de re-elador lgico a la complicada operacin como es un J%I7> y funciones de comunicacin. &a tabla aba#o lista las instrucciones disponiblesD IISTAK''ILI INSTRUCCIONES DE BIT ESA!IIA EITAA7A 'EAAA7A ESA!IIA EITAA7A ABIEATA EIEAHIXA SA&I7A 8IVAA SA&I7A &IBEAAA SA&I7A KI 7IS%AAC INSTRUCCIONES DE IE!LII 'C SI' SIC CTE CT& CTK 'IS Pagina LL

TEMPORIZ DOR!CONT DOR AETAAXC 7E EI'EI7I7C 7E TE!%CAIXA'ICI. AETAAXC 7E A%AHA7C 7E TE!%CAIXA'ICI. TE!%CAIXA7CA 7E AETEI'ILI 'CITA7CA AS'EI7EITE 'CITA7CA 7ES'EI7EITE AEIII'IA&IXA'ILI 7E TE!%CAIXA7CAW'CITA7CA INSTRUCIONES DE E!S " MENS #ES &EE TAAIS8EAEI'IA 7E B&CQKE ES'AIBE TAAIS8EAEI'IA 7E B&CQKE EITAA7A II!E7IATA SA&I7A II!E7IATA !EISAVE INSTRUCCIONES DE COMP R CI$N 'C!%AAA %AKEBA &I!ITE ?'IA'K&AA@ 'C!%AAA'ILI EI!AS'AAA7A %AAA IHKA& IHKA& 7I8EAEITE INSTRUCCIONES DE RITMETIC % LO&IC " MO'ER SK!A AESTA !K&TI%&I'A 7I/I7E AAIX 'KA7AA7A IEHA'ILI ?'C!%&E!EITC A +@ BCAAA 7ISTAIBKI7CA 7E BITS INSTRUCCIONES DE RCHI'O AAIT!ETI'A N &LHI'A 7E AA'=I/C BKSQKE7A N 'C!%AAA'ILI 7E AA'=I/C 'C%IA 7E AA'=I/C AA'=I/C &&EIC INSTRUCCIONES DE CORRIMIENTO " SECUENCI 'CAAI!IEITC 7E BIT A &A IXQKIEA7A 'CAAI!IEITC 7E BIT A &A 7EAE'=A 'AAHAA BK88EA 8I8C 7ES'AAHA 7E& BK88EA 8I8C EITAA7A 7E& SE'KEI'IA7CA SA&I7A 7E& SE'KEI'IA7CA

TCI TC8 ATC 'TK 'T7 AES

BTA BTM II! ICT !SH '!% &I! !EQ EQK IEQ A77 SKB !K& 7I/ SQA ICT '&A BT7 8A& 8S' 'C% 8&& BS& BSA 88& 88K SQI SQC Pagina LN

'AAHA 7E SE'KEI'IA7CA INSTRUCCIONES DE CONTROL SA&TA A ETIQKETA ETIQKETA SA&TA A SKBAKTIIA SKBAKTIIA AEHAESC 7E SKBAKTIIA 'CITAC& !AESTAC 7E AEIII'IA&IXA'ILI EITAA7A SIE!%AE 8A&SA 8II TE!%CAA&

SQ& V!% &B& VSA SBA AET !'A A8I TI7

PROCESO DE RECORRIDO El procesador recorre el diagrama de escalera lgico trayectoria por trayectoria, desde la parte superior a la parte inferior y de i quierda a derec"a. En el primer recorrido despu$s de que la unidad es energi ada, cuando una instruccin es detectada como lgica de -erdad, el procesador e.aminar la siguiente instruccin ?la pr.ima a la derec"a@. Si esta instruccin lgica tambi$n es -erdad, el procesador entonces e.aminar la pr.ima a la derec"a. Este proceso puede continuar "asta que la Gltima trayectoria sea alcan ada, o "asta que se encuentre un elemento lgico que sea falso, en este punto el procesador se mo-er a la pr.ima trayectoria y repetir el proceso. =asta cuatro circuitos pueden ser insertados en una trayectoria antes de que se cierre la trayectoria. Es importante notar que el procesador detectar una transicin en una trayectoria cuando esta "aya ocurrido en la Gltima bGsqueda y con esto actuali ar la instruccin de salida. &a figura ).0 ilustra la secuencia de recorrido para los circuitos e instrucciones indicados. INSTRUCCIONES DE REELEJADOR LGICO Estas instrucciones son usadas como monitoreo y control del estado de los Jbits> en las tablas de datos as como en el arc"i-o de JBit>, en las tablas de entrada y salida y en los bits de control de los tempori adores*contadores. E,AMINA SI ESTA CERRADO /,;C0 El formato de esta instruccin esD 9999b c9999 'on un dispositi-o de entrada completa su circuito, la terminal de entrada alambrada a esa entrada indica un estado EI'EI7I7C ?/EA7A7@. el cual es refle#ado en la memoria como un J0> para el correspondiente Jbit>. 'uando el procesador encuentra una instruccin SI' con la direccin de ese bit, la lgica para esta instruccin es situada en /EA7A7. 'uando el dispositi-o de entrada abre el circuito el procesador sitGa la lgica para esa instruccin como 8A&SC. Si la trayectoria conteniendo la instruccin SI' tambi$n contiene una instruccin de salida, esta ser "abilitada cuando la instruccin SI' sea -erdadera. Si la instruccin de salida no tiene retencin ?no encla-ada@ ser des "abilitada cuando la instruccin SI' sea falsa. E,AMINA SI ESTA ABIERTO /,;O0 El formato de esta instruccin esD 9999b*c9999 'uando una entrada no esta acti-ada la terminal alambrada al dispositi-o indica un estado apagado ?8A&SC@, el cual se refle#a en la memoria como un J,> para ese Jbit>. 'uando el procesador encuentra una instruccin SIC con la direccin de ese Jbit> la lgica para la Pagina N*

instruccin es situada en /EA7A7EAC. 'uando el dispositi-o de entrada se cierra, el procesador sitGa la lgica de la instruccin SIC a 8A&SC. Si la trayectoria conteniendo la instruccin SIC tambi$n contiene una instruccin de salida, esta instruccin es "abilitada cuando la instruccin SIC es /EA7A7EAA, una instruccin de salida sin retencin ser des "abilitada cuando la instruccin SIC sea 8A&SA ?entrada cerrada@. SALIDA ENERGI-ADA /OTE0 El formato de esta instruccin esD ?@ Esta instruccin se usa para situar un Jbit> particular en la memoria. Si la direccin de ese Jbit> corresponde a la direccin de un mdulo terminal de salida, el dispositi-o de salida alambrado a esa terminal se energi a. Si un estado lgico -erdadero se establece con instrucciones de entrada en una trayectoria, la instruccin CTE se acti-a. Si este estado lgico -erdadero cambia la instruccin CTE se des"abilita. 'uando las condiciones de la trayectoria se con-ierten en 8A&SC el dispositi-o de salida asociado se desenergi a. Kna instruccin CTE es similar a una bobina de rele-ador. &a instruccin se controla por medio de instrucciones anteriores a la trayectoria programada; una bobina de rele-adores es controlada por contactos que estn alambrados en la trayectoria. El programa puede e.aminar un JBit> controlado por esta instruccin tan frecuentemente como sea necesario ?$ste anlogo a la posibilidad de tener disponibles un nGmero ilimitado de pares de contactos en un rele-ador@.

SALIDA ENCLA$ADA /OTL0 El formato de esta instruccin esD ?&@ Esta es una instruccin de retencin o en otras palabras se mantiene en su estado una -e que es "abilitada "asta que una instruccin de desencla-ado con la misma direccin sea e#ecutada, o que el procesador sea conmutado de las posiciones JAKI> a J%ACHAA!>, o "asta que se pierda la energa. %ara "abilitar esta instruccin se requiere las mismas condiciones que para la instruccin CTE. SALIDA DESENCLA$ADA /OTU0 El formato de esta instruccin esD ?K@ Esta instruccin normalmente se usa con una instruccin CT& para conmutador un Jbit> de encendido a apagado. 'uando las condiciones de la trayectoria sean /EA7A7EAAS, la instruccin CTK iniciali a el Jbit> correspondiente a la direccin de la instruccin ?'ambia el bit a J,>@. &a instruccin puede permanecer acti-ada "asta que la condicin de la trayectoria regresa a 8A&SC, o la instruccin CT& con la misma direccin sea e#ecutada, o el procesador sea conmutado a J%ACHAA!> o bien se pierda la energa. UN DISPARO /ONS0 El formato de esta instruccin esD Pagina N9

[CIS] Esta instruccin controla una trayectoria "abilitando una instruccin de salida Gnicamente durante un recorrido cuando las condiciones de la trayectoria sean /EA7A7EAAS, permaneciendo des "abilitada "asta que otro cambio ?8A&SC a /EA7A7EAC@ ocurre en la misma trayectoria. INSTRUCCIONES DE TEMPORI-ADORES/CONTADORES Estas instrucciones son de salida y son condicionadas por instrucciones de entrada como las SIC y SI'. &os tempori adores controlan inter-alos de tiempo mientras que los contadores e-entos. 'ada tempori ador o contador tiene dos -alores asociadosD 0@ Kn -alor prefi#ado, el cual es asignado cuando la instruccin es creada por el programador. +@ Kn -alor acumulado, el cual es el nGmero actual de unidades que "an sido medidas por una instruccin de tiempo, o es el nGmero actual de e-entos que "an ocurrido para una instruccin de contador. 'uando el -alor acumulado es igual o e.cede al -alor prefi#ado un Jbit> estado es cambiado. Este Jbit> usualmente se le llama JEl bit e#ecutado>. ?7I@, que puede ser usado para controlar un dispositi-o de salida en la lgica. &as instrucciones de tempori adores y contadores requieren tres palabras de memoria una palabra para cadaD Z /alor Acumulado. Z /alor prefi#ado. Z %alabra de control. &os datos en estas palabras tienen el formato entero. /alores prefi#ados y acumulados para los tempori adores tienen un rango , a F 1+,525; para contadores de 91+,523 a 1+,525 ?si en un tempori ador el -alor prefi#ado o acumulado es negati-o, un error JAKI9TI!E> ocurre y causa una falla en el procesador@. TEMPORI-ADORES En una palabra de control para un tempori ador, los JBit> , a 5 son usados internamente por el procesador ?no pueden ser accesados por el programador, y los Jbits> 01, 04 y 0) son del tipo e#ecutado ?7I@, tiempo ?TT@ y "abilitado ?EI@ respecti-amente@. 7os bases de tiempo estn disponibles para los tempori adoresD 0., segundos y .,0 segundos. &a base de tiempo usada depende de la longitud de tiempo medido y la precisin requerida. TEMPORI-ADOR CON RETRASO AL ENCENDIDO /TON0 El formato para esta instruccin esD :EN< TCI
TI!EA CI 7E&AN TI!EA TI!E BASE %AESET A''K!

:DN< :TT<

&a instruccin TCI comien a a contar en la base de tiempo cuando la trayectoria es /EA7A7EAA. Tanto como la trayectoria permane ca /EA7A7EAA el tempori ador incrementar el -alor acumulado ?A''@ en cada recorrido, "asta que $ste alcance el -alor prefi#ado ?%AE@. El -alor acumulado es iniciali ado cuando la trayectoria cambia a 8A&SC, no importando el tiempo que lle-e contado. Pagina N2

El Jbit> e#ecutado ?7I@ es situado cuando el -alor acumulado es igual al -alor prefi#ado. Este es iniciali ado cuando la trayectoria cambia a 8A&SC. El JBit> de tiempo ?TT@ es situado cuando la trayectoria cambia a -erdadero y el -alor acumulado sea menor que el -alor prefi#ado. Este es iniciali ado cuando la trayectoria cambia a 8A&SC o cuando el Jbit> e#ecutado se acti-e. El Jbit> "abilitado ?EI@ se acti-a cuando la trayectoria cambia a /EA7A7EACI y es iniciali ado cuando la trayectoria cambia a 8A&SC. &a figura ).+ es un e#emplo de un diagrama de escalera programado para controlar una salida usando una instruccin TCI. 8IHKAA ).+. TEMPORI-ADOR CON RETRASO AL APAGAR /TOF0 El formato para esta instruccin es el mismo que para una instruccin TCI. :EN< TC8
TI!EA C88 7E&AN TI!EA TI!E BASE %AESET A''K!

:DN< :TT<

&a instruccin TC8 comien a a contar con la base de tiempo cuando la trayectoria cambia a 8A&SC. Tanto como la trayectoria permane ca en 8A&SC, los incrementos del tempori ador se acumularn durante cada bGsqueda "asta que se alcance el -alor prefi#ado. El -alor acumulado se iniciali ar cuando la trayectoria cambie a /EA7A7EAC, no importando el tiempo contado. El Jbit> e#ecutado ?7I@ es iniciali ado cuando el -alor acumulado es igual al -alor prefi#ado, $ste se acti-ar cuando la trayectoria cambie a /EA7A7EAC. El Jbit> de tiempo ?TT@ se acti-ar cuando la trayectoria sea 8A&SA y el -alor acumulado sea menor que el prefi#ado. Este se iniciali ar cuando la trayectoria cambie a 8A&SC o cuando el Jbit> e#ecutado sea iniciali ado. El Jbit> de "abilitado ?EI@ es acti-ado cuando la trayectoria es /EA7A7EAA y se iniciali a cuando cambia a 8A&SC. TEMPORI-ADOR CON RETENCIN /RTO0 El formato para ATC es el mismo que para TCI :EN< ATC
AETEITI/E TI!EA CI TI!EA TI!E BASE %AESET A''K!

:DN< :TT<

&a instruccin ATC comien a a contar con la base de tiempo cuando la trayectoria cambia a /EA7A7EAC el tempori ador incrementar su -alor acumulado cada recorrido "asta alcan ar el -alor prefi#ado. El -alor acumulado se retiene cuando cualquiera de lo siguiente ocurreD &a trayectoria cambia a 8A&SC El procesador es cambiado de JAKI> a J%ACHAA!> El procesador pierde energa ?si el respaldo de batera se mantiene@. 'uando el procesador se regresa de J%ACHAA!> a JAKI> y*o la trayectoria cambia a /EA7A7EAC, la cuenta del tiempo continua desde el -alor acumulado retenido. 7e esta manera, el ATC puede medir el tiempo acumulado que la trayectoria es /EA7A7EAA. Pagina N3

El -alor acumulado puede ser iniciali ado por la instruccin AES. 'uando la instruccin AES tiene la misma direccin que la ATC se "abilita, el -alor acumulado y el Jbit> de control sern iniciali ados si la trayectoria ATC es 8A&SA. El Jbit> e#ecutado ?7I@ es acti-ado cuando el -alor acumulado es igual al -alor prefi#ado. Sin embargo, este no ser iniciali ado cuando la trayectoria cambie a 8A&SC. Este slo se podr iniciali ar cuando se acti-e la instruccin AES. El Jbit> de tiempo ?TT@ se acti-a cuando la trayectoria cambia a /EA7A7EAC y el -alor acumulado es menor que el -alor prefi#ado. Este se iniciali a cuando la trayectoria cambia a 8A&SC o cuando se acti-a el Jbit> e#ecutado. El Jbit> de "abilitado ?EI@ se acti-a cuando la trayectoria cambia a /EA7A7EAC y se iniciali a cuando cambia a 8A&SC. 'uando el procesador es cambiado de AKI a %ACHAA! la energa se pierde mientras una instruccin ATC esta acti-ada ocurre lo siguienteD Z EI se mantiene acti-o. Z TT se mantiene acti-o. Z El -alor acumulado se mantiene en el mismo. 'uando el procesador regresa al modo AKI o la energa se restableceD Si la trayectoria es /EA7A7EAAD Z El -alor acumulado se mantiene igual y continua a-an ando desde donde fue detenido. Z TT se mantiene acti-o. Z EI se mantiene acti-o. Si la trayectoria es 8A&SCD Z El -alor acumulado se mantiene igual Z &os Jbits> de control son iniciali ados ?si est acti-o 7I se mantiene acti-o cuando la trayectoria cambia a 8A&SC@. CONTADORES CONTADOR ASCENDENTE /CTU0 Y CONTADOR DESCENDENTE /CTD0 &os formatos para estas instrucciones son :EN< 'T7
'CKIT 7CMI 'CKITEA %AESET A''K! 'TK 'KCIT K% 'CKITEA %AESET A''K!

:DN<

:EN< :DN<

'TK*'T7 cuentan transiciones de una trayectoria de 8A&SC a /EA7A7EAC. &as transiciones pueden ser causadas por e-entos que ocurren en el programa como un bloque de instrucciones de transferencia. 'ada cuenta es retenida cuando la trayectoria regresa a 8A&SC. Esta cuenta es retenida "asta que una instruccin AES con la misma direccin que el contador sea "abilitada. Pagina N4

'ada contador tiene un -alor prefi#ado y un -alor acumulado as como una palabra de control con cinco Jbits> de estadoD 0) 04 01 0+ 00 0, 6 3 5 2 ) 4 1 + 0 , ' ' 7 C/ K K 7 I I -alor prefi#ado -alor acumulado

'K T Bit "abilitador de contador ascendente. '7 T Bit "abilitador de contador descendente. 7I T Bit e#ecutado ?el -alor acumulado es igual o mayor que el -alor prefi#ado@. C/ T Bit de sobreflu#o. KI T Bit de ba#o flu#o. &os -alores prefi#ados y acumulados estn almacenados como enteros. /alores negati-os estn almacenados en dos nGmeros de manera complementaria. 'uando la trayectoria cambia de 8A&SC a /EA7A7EAC, el 'TK acumulado se incrementa. 'uando esto ocurre sucesi-amente de tal manera que el -alor acumulado alcan a el -alor prefi#ado, el Jbit> 7I se acti-a y permanece as si A'' e.cede %AE. El Jbit> 0) de la palabra de control es el Jbit> 'K, este se acti-a cuando la trayectoria es /EA7A7EAA. El Jbit> se iniciali a si la trayectoria cambia a 8A&SC, o una instruccin AES con la misma direccin que la de la instruccin 'TK, se acti-a. &a instruccin 'TK puede contar ms all de su -alor %AE. 'uando la cuenta continGa pasando el -alor %AE y alcan a 1+,525?0,@F 0, una condicin de sobreflu#o se presenta. Esta es indicada por el Jbit> 0+ ?C/@. &a instruccin AES iniciali ar el Jbit> C/, o el Jbit> puede ser iniciali ado decrementando por deba#o del sobreflu#o por medio de una instruccin 'T7 con la misma direccin que la 'TK. 'uando el bit C/ es acti-ado, el -alor acumulado se sitGa en 91+,523 y continGa contando desde a". &a instruccin 'T7 tambi$n cuenta transiciones de 8A&SC a /EA7A7EAC. El -alor A'' se decrementa una cuenta en cada transicin. 'uando A'' es menor que %AE, el bit 7I se iniciali a. El Jbit> 04 de la palabra de control es el '7. Este es iniciali ado cuando la trayectoria es /EA7A7EAA y la instruccin 'T7 es "abilitada. Se iniciali a cuando la trayectoria cambia a 8A&SC o una instruccin AES con la misma direccin se "abilita. 'uando una instruccin 'T7 cuenta ms all de su -alor %AE y alcan a 91+,523 90, el Jbit> KI ?Jbit> 00@ se acti-a. %uede ser iniciali ado por una instruccin AES, o incrementando la cuenta arriba del -alor KI con una instruccin 'TK. &as instrucciones 'TK y 'T7 son retenti-as. El -alor A'' se retiene despu$s de que la instruccin cambia a 8A&SC, y cuando la energa al procesador es desconectada y restablecida. Tambi$n, la condicin encendido o apagado de los Jbits> de estado ?7I, C/, KI@ es retenti-a. Estos Jbits> de control y el A'' se iniciali an cuando la instruccin AES se "abilita. INSTRUCCIONES DE INICIALI-ACIN /RES0 Esta instruccin tiene el formatoD ?AES@ Pagina N5

&a instruccin AES se usa para iniciali ar tempori adores y contadores. 'uando una AES es "abilitada, esta iniciali a la instruccin de tempori ador o contador que tengan la misma direccin que la de la instruccin AES. 'uando una instruccin AES se "abilita, esta iniciali a lo siguienteD :EN< TCI
TI!EA CI 7E&AN TI!EA TI!E BASE %AESET A''K! 'T7 'CKIT 7CMI 'CKITEA %AESET A''K!

:DN< :TT< :EN< :DN<

Si la trayectoria del contador se "abilita, el Jbit> 'K o '7 se iniciali a tan pronto como la instruccin AES se "abilita. Si el -alor %AE es negati-o, la instruccin AES cambia el -alor de A'' a cero. Esto, como consecuencia, pro-oca que el Jbit> 7I sea acti-ado por las instrucciones 'TK o 'TI. INSTRUCCIONES ARITMETICA. LGICA Y MOJER Estas instrucciones manipulan datos en forma de palabras. Son instrucciones de salida. &as instrucciones ms comGnmente usadas en esta categora sern e.plicadas en este te.to; para referencia utilice el !anual de %rocesador ?053)92.3.+@ para la descripcin de las instrucciones restantes. PARAMETROS DE LAS INSTRUCCIONES &os parmetros que el programador debe introducir sonD Z 8KEITE; direccin del -alor en el cual la operacin se debe reali ar ?puede ser direccin directa o un -alor constante@ Z 7ESTIIC; direccin ?del destino@ del resultado de la operacin. JBITS> 7E ESTA7C AAIT!ETI'C 7espu$s de cada instruccin se reali a, el Jbit> de estado aritm$tico en la palabra , de el arc"i-o de estado se actuali a. TenemosD Bit ,D Acarreo ?'@?carry@ Bit 0D Sobreflu#o ?/@ ?o-erflo:@ Bit +D 'ero ?X@ ?Xero@ Bit 1D Signo ?S@ ?Sign@ Tipo de 7atos El procesador opera con dos tipos de datosD enteros y de punto flotante. &os siguientes datos son considerados como enterosD Z Tempori adores*'ontadores Z 'ontrol Z Estados Z Entradas*salidas Z JBit> Pagina N@

Z Z Z

Entero AS'II B'7

7atos AS'II y B'7 slo deben ser usados para desplegados Gnicamente; el procesador interpreta ambos como datos enteros y pueden perder su -alor original. &a instruccin 8A7 puede ser usada para con-ertir B'7 a datos enteros para mane#ar equi-alentes, o la instruccin TC7 para pasar de entero a B'7 y as desplegar -alores B'7 al e.terior. &os -alores enteros y de punto flotante se pueden me clar en las direcciones de JSCKA'E> N J7ESTIIATICI> ?CAIHEI N 7ESTIIC@; sin embargo, las direcciones de punto flotante deben ser usadas para el destino para e-itar perdidas de presin. El procesador redondea el -alor final de un clculo antes de almacenarlo en el 7ESTIIC. Si el destino es entero, el redondeo se "ace "aca el nGmero entero mas cercano, por e#emplo, 0.4666 T 0 y 0.),,, T +. El procesador redondea -alores de punto flotante de .) a .6 a el pr.imo nGmero entero y corta -alores de ,.0 a ,.4 cuando con-ierte a entero. %or e#emplo, el procesador redondea de 5,) a 3. y 5.4 a 5. ADICIN /ADD0 El formato para la instruccin A77 esD
A77 A77 SCKA'E A SCKA'E B 7EST

El -alor del CAIHEI A se adiciona al -alor del CAIHEI B, y el resultado es almacenado en la direccin especificada. &os Jbits> de estado son actuali ados como sigueD ' es acti-ado si un acarreo es generado; de otra manera se iniciali a. / es acti-ada si un sobreflu#o se genera; de otra manera se iniciali a X se acti-a si el resultado es cero; de otra manera se iniciali a. S se acti-a si el resultado es negati-o; de otra forma se iniciali a. RESTA /SUB0 El formato de la instruccin esD
SKB A77 SCKA'E A SCKA'E B 7EST

El -alor del CAIHEI B es restado al -alor del CAIHEI A y el resultado es almacenado en el 7ESTIIC especificado. &os Jbits> de estado son actuali ados como sigueD ' es iniciali ado si un Jpr$stamo> se genera; de otra forma se iniciali a. / es acti-ado si un ba#o flu#o es generado; de otra forma se iniciali a. X es acti-ado si el resultado es ,; de otra forma se iniciali a. S es acti-ado si el resultado es negati-o; de otra forma se iniciali a. Pagina NH

MULTIPLICACION /MUL0 El formato para la instruccin !K& esD


!K& !K& SCKA'E A SCKA'E B 7EST

El -alor en el CAIHEI A es multiplicado por el -alor en el CAIHEI B y el resultado se almacena en el 7ESTIIC especificado. &os Jbits> de estado son actuali ados como sigueD ' es siempre iniciali ado. / es acti-ado si un sobre flu#o es detectado de otra manera se iniciali a. X es acti-ado si el resultado es ,; de otra forma se iniciali a. S es acti-ada si el resultado es negati-o; de otra manera se iniciali a. DI$ISIN /DI$0 El formato para la instruccin 7I/ es D
7I/ 7I/ SCKA'E A SCKA'E B 7EST

El -alor en el CAIHEI A es di-idido por el -alor del CAIHEI B y el resultado es almacenado en el 7ESTIIC especificado. &os Jbits> de estado son actuali ados como sigueD ' es siempre iniciali ado / es acti-ado si una di-isin entre ,; o un sobre flu#o es detectado; de otra manera se iniciali a. X es acti-ado si el resultado es ,; de otra manera se iniciali a. S es acti-ado si el resultado es negati-o; de otra manera se iniciali a. MO$ER /MO$0 El formato para la instruccin !C/ esD
!C/ !C/ SCKA'E 7EST

El procesador mue-e el -alor del CAIHEI al 7ESTIIC especificado. &os Jbits> de estado son actuali ados como sigueD ' es siempre iniciali ado. / es acti-ado si un sobre flu#o ocurre durante una con-ersin de punto flotante a entero; de otra forma se iniciali a X Se acti-a si el resultado es ,; de otra manera se iniciali a. Pagina NL

S es acti-ado si el resultado es negati-o ?el bit mas significati-o es acti-ado@; de otra manera se iniciali a. INSTRUCCIN DE COMPARACIN &a instruccin de comparacin permite comparar -alores de datos uno contra otro. Estas son instrucciones de entrada. &as operaciones que pueden ser reali adas incluyenD Z Igual ?EQK@ Z !enor Igual que ?&EQ@ Z Io igual ?IEQ@ Z !enor que ?&ES@ Z !ayor igual que ?HEQ@ Z &mite de la prueba ?&I!@ Z 'omparacin enmascarada para igual ?!EQ@ Estas operaciones tambi$n pueden ser reali adas con la instruccin '!%, la cual se describe posteriormente en este captulo. IGUAL /EQU0 El formato para la instruccin EQK es el siguienteD
EQK EQKA& SCKA'E A SCKA'E B

'uando los -alores en el CAIHEI A y CAIHEI B son iguales, la instruccin es lgicamente /EA7A7EAA. Si los -alores no son iguales la instruccin es 8A&SA. Na que los -alores de punto flotante son raramente absolutamente iguales, esta instruccin no debe ser usada para comparar estos -alores; en su lugar, la instruccin &I! debe ser usada para situar un rango de -alores para la comparacin. NO IGUAL /NEQ0 El formato para esta instruccin esD
IEQ ICT EQKA& SCKA'E A SCKA'E B

'uando los -alores en el CAIHEI A y CAIHEI B no son iguales, esta instruccin es /EA7A7EAA. Si los dos -alores son iguales, la instruccin es 8A&SA. MENOR QUE /LES0 El formato para esta instruccin esD

Pagina NN

&ES &ES T=AI SCKA'E A SCKA'E B

'uando el -alor en el CAIHEI A es menor que el CAIHEI B, la instruccin es /EA7A7EAA. 'uando el -alor en el CAIHEI A es mayor o igual que el -alor en el CAIHEI B; la instruccin es 8A&SA. MENOR O IGUAL QUE /LEQ0 El formato para esta instruccin queD
&EQ &ES T=AI CA EQKA& SCKA'E A SCKA'E B

'uando el -alor en el CAIHEI A es menor o igual que al -alor en el CAIHEI B, la instruccin es /EA7A7EAA. 'uando el -alor en el CAIHEI A es mayor que el -alor en el CAIHEI B, la instruccin es 8A&SA. MAYOR QUE /GRT0 El formato para esta instruccin esD
HAT HAEATEA T=AI SCKA'E A SCKA'E B

'uando el -alor en el CAIHEI A es mayor que el -alor en el CAIHEI B, la instruccin es /EA7A7EAA. 'uando el -alor en el CAIHEI A es menor o igual que el -alor en el CAIHEI B, la instruccin es 8A&SA. MAYOR O IGUAL QUE /GEQ0 El formato para esta instruccin esD
HEQ HATA T=AI CA EQKA& SCKA'E A SCKA'E B

'uando el -alor en el CAIHEI A es mayor o igual que el -alor en el CAIHEI B la instruccin es /EA7A7EAA. 'uando el -alor en el CAIHEI A es menor que en el CAIHEI B, la instruccin es 8A&SA.

Pagina9**

LIMITE DE PRUEBA /LIM0 Esta instruccin prueba los -alores dentro de un rango o fuera de un rango especfico, dependiendo de cmo los lmites son establecidos, el formato esD
&I!

&I!IT TEST ?'IA'@ &CM &I!IT TEST =IH= &I!IT

&os -alores introducidos sonD Z &mite ba#o ?7ireccin del -alor A@ o una constante del programa. Z /alor de prueba ?7ireccin del -alor B@ o una constante del programa. Z &mite alto ?7ireccin del -alor '@ o una constante del programa. &a instruccin es 8A&SA cuando el -alor B esta entre los -alores a y ', donde A pueda ser mayor que o menor que '. Si el -alor A es menor que el -alor 'D Z 'uando el procesador detecta que el -alor B es igual a uno de los lmites o esta entre los lmites, la instruccin es /EA7A7EAA; si esta fuera de los lmites la instruccin es 8A&SA. Si el -alor A es mayor que el -alor 'D Z 'uando el procesador detecta que el -alor B es igual a uno de los lmites o esta fuera de $stos, la instruccin es /EA7A7EAA; si esta entre los lmites pero no es igual a ninguno de $stos, la instruccin es 8A&SA. COMPARACIN /CMP0 Esta es una instruccin de entrada. Todas las instrucciones de comparacin e.cepto !EQ y &I! pueden ser reali adas con instrucciones '!%. El formato para esta instruccin esD
'!% 'C!%AAE ES%AESICI

El tiempo de e#ecucin para una instruccin '!% es mayor que el de una comparacin especfica; el espacio de memoria requerida es tambi$n mayor. &a e.presin especificada para una instruccin '!% es la comparacin de dos -alores, representada directamente por una direccin lgica y*o constantes de programa. &os siguientes son smbolos a especificar para diferentes instruccionesD IgualD T Io igualD de !enor queD d !enor o igual queD d T !ayor queDe !ayor o igual queD e T Pagina9*9

INSTRUCCIONES DE TRANS?ERENCIA DE =LOUUES Se usan las transferencias de bloque para leer y escribir datos desde y "acia un mdulo analgico de I*C en el c"asis local y el remoto. &as instrucciones son &ee Transferencia de Bloque ?BTA@ y Escribe Transferencia de Bloque ?BTM@. 'uando se e#ecutan cada una transferir "asta 24 palabras de datos a la -e . El formato para cada instruccin se muestra a continuacinD T=1:@87=7:>41 67 E;3L97@ 67 7@>=429=1 /BT&0 BTM :EN<
B&CB TAIS8A MAITE AA'B HACK% !C7K&E 'CITAC& B&C'B 7ATA 8I&E &EIHT=

:DN< :ER<

'CITIIKCKS

T=1:@87=7:>41 67 E;3L97@ 67 ;7>29=1 /BTR0 BTA :EN<


B&CB TAIS8A AEA7 AA'B HACK% !C7K&E 'CITAC& B&C'B 7ATA 8I&E &EIHT=

:DN< :ER<

'CITIIKCKS

EITAA7AS 'uando se programa la instruccin se "acen las siguientes entradasD HABIIETE Es el nGmero de gabinete asignado del c"asis de I*C en el cual esta colocado el mdulo de I*C destino. El rango es de ,91 en el procesador %&'9)*0), y de ,95 para el %&'9)*+). HAK%C Es el nGmero de grupo que identifica la posicin del mdulo de I*C destino en el gabinete ?el rango es de ,95@. !L7K&C Es el nGmero de ranura dentro del grupo de I*C ?siempre es , con direccionamiento de 0 ranura@. B&C'B 7E 'CITAC& Pagina9*2

Es un arc"i-o entero de ) palabras que controla la operacin de la instruccin ?este nGmero de arc"i-o se introduce sin el smbolo _@. AA'=I/C 7E 7ATCS Es la direccin del arc"i-o del bloque de transferencia desde el cual ?BTM@ o "acia el cual ?BTA@ el procesador transfiere datos. &CIHITK7 Es el nGmero de palabras que transfiere el mdulo, especificado en el bloque de control. 'CITIIKC 7etermina el modo de operacin controlando un bit ?bit 00@ en la palabra de control del bloque de control. 7escripcin del Bloque de 'ontrol &a forma del Bloque de 'ontrol es como sigueD Bits %alabr a, %alabr a0 %alabr a+ %alabr a1 %alabr a4 0) 04 01 0+ 00 0, 6 3 5 2 ) 4 1 + 0 , EI ST 7 EA ' E I TC A Habinete Hrupo I C M A M 'uenta de palabras solicitadas 'uenta de palabras transmitidas IGmero del tipo de arc"i-o IGmero de elemento

El estado por defecto de todos los bits es cero 7escripcin del Estado de los Bits EI ?Bit 0)@ =abilita la instruccin en un escaln de transicin falso 9 -erdadero; permanece prendido "asta que se completa, independientemente de la condicin del escaln. Se restablece cuando el bit =ec"o o el bit Error se prenden y el escaln es falso. ST ?Bit 04@ Se prende cuando se inicia la transferencia de datos; se apaga cuando el bit =ec"o se prende y el escaln pasa de falso a -erdadero. 7I ?Bit 01@ Se prende al terminar si el dato es -lido; se apaga en la siguiente transicin falso a -erdadero de escaln. EA ?Bit 0+@ Se prende si la transferencia falla, o si la transferencia de datos con el mdulo se retrasa demasiado; se apaga en la siguiente transicin falso 9 a 9 -erdadero de escaln. 'C ?Bit 00@ 'uestiona la peticin de operacin repetida, independientemente de si el procesador rastrea el escaln. EM ?Bit 0,@ El bit de Espera 9 "abilitada se prende cuando la peticin llega al buffer queue. Si el queue esta lleno en el momento de la peticin, el bit se mantiene encendido "asta que "ay espacio Pagina9*3

en el queue. Se apaga en la siguiente transicin falso 9 a 9 -erdadero de escaln despu$s de que se prenden el bit =ec"o o el de Error. IA ?Bit ,6@ El bit de Io 9 respuesta se prende si el mdulo de transferencia de bloque no responde a la primera peticin; se mantiene encendido "asta que el escaln pasa de falso a -erdadero. TC ?Bit ,3@ Si el bit de Tiempo 9 fuera esta apagado, permite que el procesador intente repetidamente "acer transferencias de bloque "acia un mdulo que no responde durante cuatro segundos antes de prender el bit de Error. El bit puede prenderse por el programa de escalera en cualquier momento. 'uando esta prendido, el procesador des"abilita el contador de cuatro segundos y pide una transferencia ms antes de prender el bit de Error. AM ?Bit ,5@ El bit &ee*Escribe es controlado por la instruccin ?,Tescribe, 0Tlee@. Bits ,29,4 Especifican el nGmero de gabinete asignado al mdulo de I*C destino ?el bit 4 es el bit menos significati-o del nGmero de gabinete@. Bits ,19,0 Especifican el nGmero de grupo del mdulo destino ?el bit menos significati-o@. Bit ,, Es el nGmero de Aanura del mdulo destino ?,TAanura ,, 0TAanura 0@ %alabra 0 ?'uenta de %alabras Solicitado@ Esta es la longitud del bloque de la instruccin ?rango de ,924 palabras@. Si se introduce ,, el procesador crea un arc"i-o de 24 palabras empe ando en la palabra especificada en el arc"i-o de datos. %alabra + ?'uenta de %alabras Transmitidas@ Este es el nGmero de palabras que el mdulo transfiere. El procesador usa este nGmero para -erificar la transferencia. 7ebe igualarse al nGmero de palabras solicitando ?a menos que sea ,@. Si no se iguala, el procesador prende el bit de Error. Si la 'uenta de %alabras es ,, el mdulo de I*C establece la longitud de acuerdo al nGmero de palabras que tiene que transferir. Entonces la cuenta de palabras transmitidas es el nGmero real de palabras transferidas, y el bit de Error no se prende. %alabra 1 ?IGmero de Tipo de Arc"i-o@ Este nGmero y el nGmero de Elemento ?palabra 4@ representan la direccin de arc"i-o del arc"i-o BTM*BTA especificado en la instruccin. Es el arc"i-o del cual o "acia el cual se -an a transferir los datos. %alabra 4 ?IGmero de Elemento@ Es el nGmero de elemento inicial para el arc"i-o de datos. E#emplos de programacin A continuacin estn e#emplos de m$todos de programacin bidireccional, independiente y continuoD Bidireccional Se usa para transferencias de*"acia el mismo mdulo; garanti a que el orden de transferencia siga el orden rastreando en el programa. 8IHKAA Independiente Se usa para lecturas y escrituras independientes a diferentes mdulos de I*C. 'on este m$todo, los escalones deben rastrearse por instrucciones a operar. Haranti a que el orden de transferencia siga el orden rastreado en el programa. 8IHKAA Pagina9*4

'ontinuo Se utili a para respuesta rpida y prioritaria. Kna -e que los escalones "an sido rastreados, las instrucciones operan cada rastreo del programa ?Bit 'C prendido@ independientemente de si el escaln es -erdadero, a menos que el procesador detecte un error. Si ocurre un error, los Gltimos dos renglones reinician la operacin continua. 8IHKAA ICTA 'uando se usa el modo continuo y se escribe a un mdulo que no esta listo a responder, el tiempo de rastreo del programa puede prolongarse si el mdulo "a prendido temporalmente el bit de IC AES%KESTA. &os siguientes mdulos prenden este bit cuando estn e#ecutando lgica asncrona a la transferencia de bloque o cuando reali an muestreo en tiempo realD 055097AI 05509I& 055097B 05509IA 05509I8E 05509ISE 05509C8E Crden de e#ecucin de la Transferencia de Bloque 'ada nGmero de gabinete tiene un buffer queue de Transferencia de Bloque con su correspondiente bit de queue lleno que el procesador prende cuando detecta esta condicin. &os bits de queue lleno se encuentran en el arc"i-o de estado del procesador, palabra 5, bits ,390). Bit ,3 ,6 0, 00 0+ 01 04 0) 7escripcin Queue lleno del BT del gabinete ,. Queue lleno del BT del gabinete 0. Queue lleno del BT del gabinete +. Queue lleno del BT del gabinete 1. Queue lleno del BT del gabinete 4 ?%&'*+)@. Queue lleno del BT del gabinete ). Queue lleno del BT del gabinete 2. Queue lleno del BT del gabinete 5.

Kna -e prendidos el programa de escalera debe apagar estos bits. DETECCIN DE FALLAS APROXIMACIN GENERAL Esta seccin contiene las guas generales para aislar las fallas en el sistema; no se pretende que sea un Jrecetario> para la deteccin de fallas. &a mayor parte de la informacin contenida en est seccin no es ms que una serie de recordatorios para re-isar aquellos equipos que se "an discutido en detalle en este manual. &a cla-e para detectar las fallas con $.ito esta en mantener su perspecti-a... cuando tenga duda, 7ETRIHASE y %IEISE acerca de lo que esta "aciendo. &a confian a llega con la e.periencia, pero no se complaciente; entonces es cuando se "acen algunos errores realmente grandes. CONDICIONES INICIALES A continuacin se sugieren algunas ideas que mantener en mente cuando se prepara a detectar fallas en un sistemaD 0.9 Siempre suponga que alguien "a estado J#ugando> con los controles y los interruptores del panel frontal ?y los escondidos@..... AE/ISE TC7CS E&&CS 'KI7A7CSA!EITEfff +.9 Ae-ise todo el cableado y alambrado contra la documentacin y su propio conocimiento. Es muy fcil cru ar cables y cone.iones en estos sistemas. Pagina9*5

1.9 Ae-ise el soft:are de configuracin en el %&' y en el sistema de despliegue. 7ebe tener las -ersiones ms recientes de los arc"i-os de procesador, comentarios y smbolos, y del sistema de desplegado en el disco duro de su terminal o en discos fle.ibles a los que tenga fcil acceso. 4.9 Busque e-idencia de da<o fsico ob-io; tales como da<o del aislamiento, cables sueltos o rotos o signos de arcos -oltaicos. ).9 Cbser-e los desplegados, particularmente las pginas de A&AA!AS y %AI!EAAS A&AA!AS. 2.9 E.amine los libros de registros de otros equipos, si estn disponibles; tambi$n "able con el operador que estaba de turno cuando el problema se detect, para tratar de obtener ms informacin acerca del problema. 5.9 Ae-isar si todos los mdulos estn en las ranuras apropiadas en los gabinetes de I*Cs. Cbser-e particularmente los mdulos analgicos que puedan ser de un tipo, pero que est$n configurados de manera diferente debido a su aplicacin. MONITOREO DEL PROGRAMA Todos los procedimientos en esta seccin se basan en la suposicin de que el usuario tiene la terminal de programacin y el soft:are, y que es capa de conectar y operar el sistema de programacin. ICTA Antes de "acer cualquier cone.in u otra accin que pueda resultar en la modificacin del programa procesador, sal-e el programa en disco fle.ible y guarde el disco en lugar seguro. Aecuerde que esto slo se puede reali ar despu$s de que el programa se "a sal-ado a disco duro de la terminal de programacin. Kna -e que el programa esta en el disco duro se pueden usar sea la opcin Transferir de la opcin Transferir de la opcin Cpciones de Arc"i-o del !enG %rincipal, o los comandos estndar 7CS de mane#o de arc"i-os para transferir arc"i-os a discos fle.ibles. 0.9 Ae-ise la liberacin del programa procesador y cada uno de los mdulos de subrutina. Si cualquiera es diferente a la que especifica la documentacin de la orden de -enta, contacte con el Especialista de Soporte 'omisionado para que lo resuel-a. +.9 Ae-ise los -alores B en el procesador para -er que correspondan a los -alores en el diagrama de soft:are ?si el sistema de desplegado no esta funcionando, monitoree los -alores en el arc"i-o de datos 801 en el procesador. &os nGmeros de palabra en el arc"i-o 801 corresponden a los nGmeros de los -alores B. Si "ay alguna disparidad, contacte con el Especialista de Soporte. 1.9 Ae-ise el a#uste de Tempori adores y 'ontadores para asegurase de que correspondan a los -alores en el diagrama de soft:are. Si "ay alguna diferencia, contacte con el Especialista de Soporte. 4.9 7esde la pantalla de Ktileras Henerales, seleccione /ista Heneral de I*Cs, y asegGrese que los I*Cs analgicos est$n configurados de acuerdo al diagrama de soft:are. %reste particular atencin a las escalas y rangos donde sea apropiado. ).9 AsegGrese de que la opcin de Estados 8or ados este des "abilitada, y de que no "ay entradas en la tabla de Estados 8or ados. REEMPLA-O DE MDULOS &as siguientes generalidades aplican a cualquier dispositi-o o sistema basado en microprocesador o en electrnica de estado slido. El mayor peligro para estos dispositi-os son las descargas de -olta#e, sea debido a errores o fallas de alambrado como a descargas estticas causadas por t$cnicas inadecuadas de mane#o. 0.9 7esconecte la alimentacin de +4 /'7 del gabinete cuando se -aya a quitar o insertar un mdulo. Pagina9*@

+.9 Anote la posicin de todos los !icro 9 interruptores y puentes de configuracin en el mdulo que se reempla a. A#uste todos los interruptores y puentes en el mdulo que reempla a al que se quita de la misma manera, despu$s de -erificar que estas posiciones estn como se especifica en el diagrama esquemtico de la unidad. 1.9 Instale las bandas gua en mdulo nue-o en la misma posicin que aquellas del mdulo que se reempla a. 4.9 'uando se inserta un mdulo, alinear los bordes del mdulo cuidadosamente con las guas de la ranura en el c"asis, y aplique presin pare#a "asta que el conector trasero empate de forma segura con el conector del plano trasero. IC KTI&I'E 8KEAXA ES'ESI/A 'KAI7C IISTA&E E& !L7K&C. ).9 /erifique el cableado del bra o de cableado cuando el mdulo se remue-a o se inserte. AsegGrese que todas las cone.iones en el bra o embonan con las cone.iones correspondientes en el mdulo de una forma correcta. 2.9 Ae-ise que las lmparas indicadoras en el mdulo se iluminen en la secuencia apropiada cuando se alimente el gabinete de nue-o. Aefi$rase a la seccin correspondiente de este manual o del !anual de Ksuario del mdulo para determinar el estado correcto de las lmparas indicadoras. 5.9 Aealice una prueba funcional de I*Cs del mdulo nue-o.

Pagina9*H

Você também pode gostar