Você está na página 1de 3

Laboratorio de Electrnica Digital II D.I.C.I.S.

Gustavo Cerda Villafaa















UNIVERSIDAD DE GUANAJUATO

D. I. C. I. S.









Laboratorio de Electrnica Digital II

Prctica de Laboratorio No. 7:

Programacin de tarjeta Altera

Laboratorio de Electrnica Digital II D.I.C.I.S.
Gustavo Cerda Villafaa
Prctica de Laboratorio No. 7

Programacin de tarjeta Altera


Objetivos:
Realizar la programacin de un FPGA de una tarjeta Altera y observar su funcionamiento

Componentes:
Sin componentes

Equipo:
1 Equipo de Computo con el paquete de software Quartus II
2 Tarjeta Altera DE0


7.1 Introduccin.

Los FPGA son circuitos lgicos programables de muy grande escala, conteniendo cientos de miles de elementos
lgicos. En el caso de la tarjeta Cyclone III Development Board, el FPGA contiene 119,000 de estos elementos.
Este tipo de tarjetas ofrecen una plataforma de hardware para el desarrollo de prototipos muy diversos. pues,
adems de permitir su programacin va un puerto USB, tiene diversos componentes como:

3,888 kbits de memoria
288 multiplicadores
PLL
8 LEDs como seales de salida
exhibidores de 7 segmentos
push-button como seales de entrada
8 DIP switches como seales de entrada

7.2 Desarrollo
En esta prctica se implementar el cdigo que se encuentra lneas abajo en una tarjeta Altera DE0. Para ello se
debe tener cuidado en manejarla. Al recibirla se verificar que est funcionando correctamente. Para ello se
conectar al eliminador y este a una toma de corriente. La tarjeta comenzar a mostrar una secuencia de valores
en los exhibidores de 7 segmentos. Si no aparece dicha secuencia, reprtelo de inmediato al encargado.

Si aparece la secuencia indicada, desconecte la tarjeta, conctela va USB a la computadora, conecte nuevamente
la alimentacin y, en caso de ser requerido encienda la tarjeta.

Instale los controladores en la computadora como le haya indicado el profesor si es la primera vez que conecta la
tarjeta.

Una vez instalados los controladores abra el paquete de cmputo Quartus III, cree un nuevo proyecto y copie y
compile el siguiente cdigo.

library IEEE;
use IEEE.std_logic_1164.all;

entity BCD_7seg is port (
Q: in std_logic_vector(3 downto 0);
S: out std_logic_vector(1 to 7)
);
end BCD_7seg;

Laboratorio de Electrnica Digital II D.I.C.I.S.
Gustavo Cerda Villafaa
architecture tabla of BCD_7seg is
begin
p1:process(Q)
begin
case Q is
when "0000" =>s <="0000001";
when "0001" =>s <="1001111";
when "0010" =>s <="0010010";
when "0011" =>s <="0000110";
when "0100" =>s <="1001100";
when "0101" =>s <="0100100";
when "0110" =>s <="0100000";
when "0111"=>s <="0001111";
when "1000" =>s <="0000000";
when "1001" =>s <="0001100";
when others =>s <="1111111";
end case;
end process;
end tabla;

Compile este cdigo, asigne como seales de entrada cuatro DIP switches, como seales de salida un exhibidor
de 7 segmentos y realice la programacin del FPGA. Para cualquier duda en este proceso consulte al profesor.
Muestre el funcionamiento de la tarjeta al profesor y reporte sus resultados.

Você também pode gostar