Você está na página 1de 34

Contadores Sncronos

Como vimos en los contadores asincronos, los contadores de rizo no pueden ser utilizados para llevar cuentas precisas, cuando
necesitamos la mayor precisin posible, se deben utilizar los Contadores Sncronos o "Paralelos".

A diferencia de su contraparte el contador de rizo, el contador sncrono o "Paralelo" lleva una conexin un tanto diferentes sobre los
FF, esto puede aumentar su complejidad, pero es la nica manera de obtener el menor retraso posible para operar de manera confiable
y alcanzar mayores velocidades de conteo.

En los contadores paralelos, todos los FF cambian al mismo tiempo, lo que reduce la propagacin a un solo valor (el tiempo que tarda
en cambiar de estado un solo FF).

La siguiente figura ilustra el circuito de un contador sncrono (Paralelo):

Figura 1

Al comparar el circuito sncrono y el asncrono, podremos observar diferencias muy marcadas:
1. En este circuito, todas las entradas de reloj (CP) estn conectadas a un mismo punto, logrando as que la seal de reloj sea la
misma para todos los FF del contador.
2. nicamente el primer BIT (FF) tiene sus entradas "J-K" conectadas a V+, y por consiguiente, ser el nico que se complemente
(Toggle) libremente, los dems dependen de una combinacin en las salidas para poder complementarse.
3. Es primordial el uso de otro tipo de circuitos digitales adems de los FF, en este caso, un par de compuertas AND, una de dos
entradas y una de tres entradas.

Funcionamiento del circuito contador sncrono
Observemos por un momento la secuencia de conteo de este circuito:


Tabla 1

Como podemos observar en la grfica anterior, el primer BIT siempre cambia de estado con cada pulso de reloj, el segundo cambia
cada dos, el tercero cada cuatro, y el cuarto cada ocho.

En los contadores asncronos, este efecto es automtico y no hay que preocuparse por l, pero en el caso de los contadores sncronos,
tenemos que forzar a cada FF a complementarse de manera precisa y controlada

Para que este cambio se lleve a cabo, se utilizan las dos compuertas, tomemos como ejemplo la compuerta de dos entradas, solamente
cuando sus dos entradas se encuentren en el estado alto (BIT 1 = 1 y BIT 2 =1), la salida ser alta, y por lo tanto "J-K" del tercer FF
tambin, al llegar el pulso del reloj, este podr complementarse. Y en el caso de la compuerta de tres entradas el caso es idntico,
solamente cuando sus tres entradas sean altas, su salida ser alta, y el cuarto FF podr cambiar.

En otras palabras, EL FF 1 se complementa sin ayuda alguna, ya que sus entradas "J-K" le permiten hacerlo libremente, el segundo FF
depende de la salida del primero, el tercero depende de de los dos primeros, el cuarto de los tres primeros, y as sucesivamente si le
seguimos colocando ms BITS (FF) al contador.

Los cambios en las entradas de las compuertas suceden con cada pulso del reloj, de manera que mientras la transicin correcta llega,
los FF que deban complementarse ya estn "preparados" y responden inmediatamente a la seal de reloj.

Ventajas de los contadores sncronos
La principal ventaja de este tipo de contadores sobre los asncronos radica en que todos los FF sin importar cuntos sean, cambian al
mismo tiempo, sincronizados por la seal de reloj.

Una ventaja derivada de la primera es que el tiempo de propagacin se reduce al mnimo, ya que el conteo slo debe propagarse por
una o dos compuertas y un FF (Ya que cambian al mismo tiempo, y no dependen de otro FF para operar).

Por lo que el retardo de estos contadores va a ser mucho menor al de un contador asncrono con el mismo nmero de FF (BITS).

Contadores sncronos de cuenta Ascendente/Descendente
Con anterioridad vimos como un contador asncrono "normal" (Conteo ascendente) poda ser modificado para hacer el conteo
descendente. En el caso de los contadores sncronos es la misma mecnica.

La siguiente figura nos muestra un contador MOD 8, con controles separados de cuenta ascendente/descendente.


Figura 1

El principio de operacin es el mismo, la diferencia es que tenemos dos pines de control, cada uno va a ser activo en el estado alto, y
por obvias razones no pueden estar en el mismo estado al mismo tiempo, ya que el contador puede producir errores o cuentas
impredecibles.

SI mantenemos en el estado alto el pin "Cuenta ascendente" las seales de cada FF que llegarn al siguiente sern las de las salidas
"normales".

Si lo hacemos al contrario, las seales que sern usadas sern las de las salidas "negadas". Produciendo la cuenta hacia arriba o hacia
abajo.

Contadores sncronos con entradas de pre-establecer
Una gran necesidad en un circuito contador es la capacidad de poder modificar la cuenta a voluntad del usuario, (de otra manera
tendramos que esperar a que llegara al nmero deseado de manera autnoma).

La gran mayora de circuitos contadores integrados en un solo chip cuentan con uno o ms tipos de entradas de control para este fin.

La siguiente figura nos muestra un ejemplo de las mismas.


Figura 3

El funcionamiento del contador en general es el mismo que habamos visto antes, ero con la ventaja de que podemos enviar el contador
a un nmero arbitrario en el momento que lo necesitemos.

Para este efecto, el nmero a cargar se pone en las entradas de datos de carga en paralelo, en formato binario.
Y cuando lo necesitemos, lo nico que tenemos que hacer es enviar un pulso a la entrada de activar carga, y el nmero establecido con
anterioridad ser enviado (cargado) a la salida.

NOTA: La informacin acerca de los circuitos contadores armados de forma discreta (Con FF y compuertas lgicas) no es muy
extensa ya que no son aplicadas comnmente. Slo son para propsitos de comprender el funcionamiento de los mismos, antes de
pasar a los contadores integrados en un chip.

Contadores sncronos (paralelos) integrados en un chip
Para evitar lo complejo y obsoleto de los contadores discretos (Circuitos con FF y compuertas lgicas), se utilizan los contadores
integrados en un solo chip, en el mercado se puede conseguir una amplia gama de modelos con diferentes caractersticas, como
Nmeros MOD, entradas de pre-establecimiento, etc.

La siguiente figura nos muestra el circuito integrado de la familia TTL 74LS193.
Un contador sncrono MOD 16 pre-fijable y reset asncrono y con salidas de acarreo.

Figura 4

En la siguiente tabla se describen los nombres de cada uno de los pines


Tabla 2

Descripcin del funcionamiento de las entradas / salidas
Entrada CPU: Esta entrada slo responder a las TP (Transiciones positivas) de la seal de reloj, se puede identificar claramente por
la falta de un crculo o una lnea debajo del smbolo de la entrada. Y su propsito es el de enviar los pulsos de reloj para activar la
cuenta Ascendente.

Entrada CPD: Esta entrada slo responder a las TP (Transiciones positivas) de la seal de reloj, se puede identificar claramente por
la falta de un crculo o una lnea debajo del smbolo de la entrada. Y su propsito es el de enviar los pulsos de reloj para activar la
cuenta Descendente.

Entrada PL: Esta entrada slo ser activa al estar en el estado BAJO, se puede identificar claramente por el pequeo crculo o una
lnea debajo del smbolo de la entrada. Y su propsito es el de enviar los pulsos para activar la carga de un nmero paralelo pre-
establecido.

Entrada MR: Esta entrada slo ser activa al estar en el estado BAJO se puede identificar claramente por el pequeo crculo o una
lnea debajo del smbolo de la entrada. Esta entrada es la encargada de activar el RESET general, enviando todas las salidas al estado
BAJO, es decir, al nmero 0000.

Entradas D0 - D3: Estas entradas slo sern activas al estar en el estado ALTO, se puede identificar claramente por la falta de un
crculo o una lnea debajo del smbolo de la entrada. SU propsito es el de albergar el nmero binario paralelo que va a ser cargado al
activar el pin PL.

Salidas Q0 - Q3: Las cuatro salidas del contador, desde el LSB (Q0), hasta el MSB (Q3).

Salida TCU: Esta salida enviar un pulso cada vez que el contador se recicle, en el modo de conteo ascendente.

Salida TCD: Esta salida enviar un pulso cada vez que el contador se recicle, en el modo de conteo descendente.


En la siguiente tabla se explica las acciones que generan las diferentes combinaciones en las entradas:


Tabla 3

Contador de anillo
Un tipo de contadores que funcionan de manera especial son los llamados "Registros de corrimiento", el contador de anillo o "registro
de corrimiento circulante" es el ms sencillo de ellos.

Este nombre se toma de la manera en la que est conectado el circuito contador, Cada FF transfiere el estado presente en su entrada al
siguiente FF con cada pulso de la entrada de reloj, y el ltimo FF regresa ese estado al primero, cerrando as el "Anillo".

La siguiente figura nos muestra el circuito de un contador de anillo


Figura 5

La siguiente tabla nos muestra la secuencia que siguen los datos al pasar por lo FF, esto suponiendo que el estado de cuenta inicial
fuera de: Q3 = 1, Q2=0, Q1=0, y Q0=0.


Tabla 4

En la grfica podemos observar el corrimiento de los datos sobre los cuatro FF.

El nmero MOD de un contador de anillo puede ser tan grande como lo necesitemos, slo se necesita agregar ms FF al anillo.

Aunque su uso es menos eficaz que el de los contadores normales, esta configuracin sigue vigente gracias a que no es necesaria la
decodificacin de las salidas (Como sucede con los contadores asncronos y sncronos), la salida decodificada es obtenida directamente
de la salida del FF que deseemos.

El contador Johnson
Haciendo una pequea modificacin al contador de anillo bsico, podemos crear otro tipo de contador de anillo. El contador Johnson u
de anillo trenzado.

El circuito bsico es en esencia el mismo, el nico cambio que necesitamos hacer es en la salida del ltimo FF (la que cierra el anillo
con la entrada del primer FF).

En vez de utilizar la salida "normal" para enviarla a la entrada del prime FF, se toma la salida Negada.

Figura 6

La siguiente tabla nos muestra la secuencia que siguen los datos al pasar por lo FF.


Tabla 5

Como podemos observar en la secuencia, el nmero MOD de un contador tipo Johnson siempre ser el doble de los FF que lo
conformen.

Este tipo de contadores est limitado a un nmero MOD que siempre ser PAR.

Con esto termina este pequeo tutorial de los Contadores, espero que las dudas hayan encontrado una respuesta satisfactoria, y que la
informacin aqu contenida sea de gran utilidad para el lector.
Autor: Apollo







Contadores Asncronos

En la siguiente figura podemos observar la conexin de cuatro FF tipo J-K para formar un contador asncrono de 4 BITS, comnmente
conocido como Contador de Rizo de 4 BITS.

Figura 1

El funcionamiento de este circuito se basa en cuatro puntos importantes:
1. ALos pulsos de reloj slo son aplicados a la entrada CP (Clock, reloj) del primer Fip-Flop. Teniendo en cuenta que es un FF
tipo "J-K", y que estas dos entradas se encuentran en un nivel alto (Conectadas a V+), el FF realizar la funcin de
complemento o "Toggle", conmutando sus salidas con cada pulso de la seal de reloj. El cambio puede ser controlado por
transiciones positivas o negativas de la seal de reloj, esto depende nicamente del tipo de entrada del FF.
2. La salida del primer FF (Primer BIT) acta como pulso de reloj para el siguiente FF (Segundo BIT), y as sucesivamente hasta
llegar al cuarto FF. De esta manera se logra que un FF slo pueda cambiar de estado cuando el anterior le proporcione la
transicin correcta a su entrada.
3. Las salidas de los FF representan el nmero binario de 4 BITS, Obteniendo del primer FF el LSB (Dgito menos significativo),
y del ltimo el MSB (Dgito ms significativo). Al comenzar la cuenta, tericamente las salidas de los FF deben estar en cero,
esto nos da e nmero 0000, esto puede lograrse con las entradas de "Restablecer" (RESET), las cules no son mostradas en el
diagrama. La tabla 1, muestra la secuencia de conteo desde el nmero 0000 al 1111.
4. Una vez que ha llegado el quinceavo pulso de entrada, el contador se encontrar en la cuenta mxima para 4 BITS, es decir
1111, al llegar el siguiente pulso, el contador volver a la posicin original de 0000, Esto supone un ciclo completo del
contador y se conoce como el "reciclado" de la cuenta.

Este diseo de contador, es conocido como "Contador Asncrono", debido a que los cambios de estado en los FF son asncronos con
respecto a la seal de reloj, es decir, no ocurren al mismo tiempo que cambia la seal de reloj. El nico que obedece directamente a los
cambios de la seal de reloj es el primer FF.

Cambio del primer FF = Seal de reloj
Cambio del segundo FF = Primer FF + Seal de reloj
Cambio del tercer FF = Segundo FF + Primer FF + Seal de reloj
Cambio del cuarto FF = Tercer FF + Segundo FF + Primer FF + Seal de reloj.

Esta configuracin nos permite observar que se produce un retraso en la propagacin de la seal desde la entrada a la salida (Ya que
existe un pequeo tiempo de retraso cuando un FF cambia de estado, el cul explicaremos a detalle ms adelante).
Este es el uno de los "defectos" de los contadores de rizo, debido al retraso explicado anteriormente, este tipo de contadores tiene un
lmite en la frecuencia de reloj aplicada a su entrada, aunque los retrasos en los FF modernos son muy pequeos (entre los 10 y los 40
nano-segundos), si se aplica a la entrada una frecuencia muy alta, el contador no puede funcionar de manera correcta.


Tabla 1

Contadores asncronos integrados en un solo chip.
Los ejemplos anteriores de circuitos discretos (todo hechos con FF) slo son para ejemplificar la construccin del contador, en la
prctica, son obsoletos ya que se necesitan muchos integrados y espacio para crearlos.

En el mercado podemos conseguir diferentes tipos de integrados con tecnologa TTL o CMOS con contadores de rizo internos, Uno de
los ejemplos ms usados es el 74LS293.

En la figura 2, se encuentra el diagrama interno del integrado.
(Se toma por entendido que todas las entradas "J-K" estn en estado ALTO)


Figura 2

En este diagrama podemos observar una conexin muy parecida a la de la figura anterior, el integrado cuenta con cuatro FF tipo "J-K",
cada una de las salidas de los FF representa un BIT, desde Q0 (LSB), hasta Q3 (MSB).

Cada uno de los FF cuenta con una entrada de reloj, pero en este caso, solamente dos son accesibles desde el exterior, la entrada del
primer FF (CP 0), y la del segundo FF (CP 1), esta configuracin nos permite utilizar los ltimos tres FF como contador de tres bits y
el primero por separado, o utilizar los cuatro bits para la cuenta.

Todos los FF cuentan con una entrada de RESET, la cual se encuentra conectada a una compuerta NAND de dos entradas, las cules
son accesibles desde el exterior (MR 1 y MR 2), de esta manera se puede logra el reset del contador desde dos puntos diferentes.

Nmero MOD
En los casos anteriores, los dos contadores pueden darnos una salida de 4 BITS, es decir, cuentan desde el 0000 hasta el 1111, Para
efectos prcticos, estos contadores son denominados Contadores "MOD 16", Ya que presentan 16 estados diferentes en la cuenta. Por
lo que el nmero MOD, siempre es igual al nmero de estados que podemos obtener del contador antes que recicle su cuenta.

La siguiente tabla nos muestra los diferentes nmeros MOD.


Tabla 2
Por lo que podemos observar en la relacin de la tabla, al agregar un BIT ms de cuenta (Otro FF), se duplica el nmero de estados
posibles y por lo tanto tambin el nmero MOD.

Esto no permite llegar a una frmula para saber cul es el nmero MOD de un contador sabiendo el nmero de FF que se van a
conectar.

Nmero MOD = 2 (N)

Lo que puede traducirse como "El nmero MOD es igual a 2 multiplicado a la (N) potencia". El nmero "N" es el nmero de FF que
deseemos ocupar.

Por ejemplo, cul ser el nmero MOD de un contador con 6 FF (6 BITS)?

Entonces: MOD = 2 (6)
MOD = 2 multiplicado a la sexta potencia
MOD = 2*2*2*2*2*2
MOD = 64
Contadores con Nmero MOD diferente a 2 (N)
En el ejemplo anterior pudimos encontrar el nmero MOD de un contador basado en la frmula de 2 (N), pero:

Cmo encontrar el nmero de FF que se necesitan para un contador que no sea un MOD 4 o MOD 8?

Por ejemplo:
Si necesitramos hacer un contador MOD 6. Cmo podramos lograr esto?

Debido a la naturaleza de los nmeros binarios, no se puede lograr de manera "Comn" un nmero MOD que es diferente a los
obtenidos por medio de la frmula anterior.

En estos casos, lo que hacemos es crear un contador con el nmero MOD ms cercano hacia arriba, En este caso, el ms cercano es el
MOD 8. Y hacer que el contador omita los estados que no son necesarios.

Es decir:

Tabla 3
La tabla nos muestra la cuenta mxima de un contador MOD 6, el cul sera el nmero 5 (101), por lo que necesitamos hacer que
nuestro contador "recicle" su cuenta al pasar de este nmero al siguiente.

En la siguiente figura, se representa el diagrama del contador que necesitamos.


Figura 3

El funcionamiento de este circuito es bsicamente el mismo que hemos visto hasta ahora, pero al agregar una compuerta NAND como
control de las entradas de RESET, podemos hacer que nuestro contador se recicle al llegar al nmero que deseemos.
Cada una de las entradas est conectada a la salida de un FF, dependiendo de cules BITS sea, la compuerta ordenar el RESET a
todos los FF, enviando la cuenta a cero o "reciclndola".

En este caso, una de las entradas de la compuerta NAND est conectada a la salida Q1 (Segundo BIT), y la otra a Q2 (Tercer BIT), por
lo que solamente habr un pulso de RESET a la salida en la compuerta cuando sus dos entradas se encuentren en el estado ALTO. Por
lo que la compuerta actuar cuando est presente el nmero seis (110), enviando la cuenta nuevamente a cero (000).

Al ser un contador de rizo, nuevamente nos topamos con el factor del retraso en la propagacin de la cuenta, ya que se necesita cierto
tiempo para que la seal de salida de los contadores sea enviada al RESET de los FF, por un pequeo momento (tal vez no perceptible
para nosotros), ser visible el nmero 6, e inmediatamente ser reciclado a cero. Este efecto es claramente visible si a nuestro contador
le incorporamos un decodificador de Cdigo Binario a un display de 7 segmentos y ocupamos una frecuencia de reloj muy baja.

El retraso en la propagacin de la cuenta es la causa principal por la que los contadores de rizo no son muy utilizados en sistemas de
medicin de tiempo. Por ejemplo un reloj digital, ya que despus de cierto tiempo, el reloj quedar retrasado.
Direccin de la cuenta en los contadores de rizo.
Hasta ahora slo hemos visto contadores asncronos que siempre elevan el nmero de la cuenta, pero Cmo lograr que el contador
funcione restando la cuenta? La solucin es muy sencilla, simplemente se alimentan los FF con las salidas Negadas o Invertidas de los
FF, no importa el nmero MOD de un contador, si se toman las salidas Negadas como reloj para los siguientes FF, la cuenta siempre
ser hacia abajo.


Figura 4












































Contador fotoelctrico de personas y objetos
Descripcin general
El contador fotoelctrico que se describe en este proyecto es un circuito que cuenta la cantidad de veces que un objeto opaco se
interpone entre un rayo de luz y un sensor ptico. El estado de la cuenta se visualiza en tres displays de siete segmentos, permitiendo la
cuenta en lnea hasta de 999 objetos.

El contador utiliza como sensor un LDR (resistencia dependiente de la luz) o fotocelda. La luz puede provenir de una fuente natural
(sol) o artificial (lmparas incandescentes, fluorescentes, de nen, etc.).

Cuando la cuenta llega a su tope mximo (999), el circuito la reinicia nuevamente en 0 y enva una seal de sobreflujo que puede
utilizarse externamente para ampliar la longitud del conteo a 4 ms dgitos.

El circuito tambin proporciona la facilidad de borrar la cuenta (reset) o detenerla (stop) en cualquier momento. No utiliza partes
mviles y es extremadamente compacto, gracias a la adopcin de una tcnica digital conocida como mutiplex por divisin de tiempo.

Al no existir contacto fsico entre el sensor y el mundo externo, el sistema garantiza la ausencia de desgaste mecnico y permite contar
objetos de cualquier ndole, sin importar su forma o su peso. Esta es una de sus principales ventajas.

Los contadores fotoelctricos se utilizan en una gran variedad de aplicaciones, domsticas e industriales, y sustituyen a los contadores
electromecnicos convencionales en numerosas situaciones. Se pueden emplear para contar personas, animales y objetos como hojas,
botellas, latas, cajas, bolsas, etc.



Operacin
En la figura 1 se muestra el diagrama de bloques de nuestro contador fotoelctrico. El sistema consta, bsicamente, de un sensor de luz
(LDR), un conformador de pulsos, un contador BCD de 3 dcadas multiplexado, un decodificador de BCD a siete segmentos y un
displays de 3 dgitos.

En la figura 2 se muestra el circuito correspondiente al conformador de pulsos. En condiciones normales, la fuente de luz ilumina la
fotocelda y su resistencia es muy baja. Como resultado, la entrada del inversor Schmitt-trigger recibe un alto y su salida es baja.



Cuando se interpone un objeto entre el rayo de luz y la fotocelda, la resistencia de esta ltima aumenta, aplicando un bajo a la entrada
del inversor Schmitt-trigger. Como respuesta, la salida del circuito realiza una transicin de bajo a alto, es decir, produce un flanco de
subida.

Cuando el objeto deja de interrumpir el rayo de luz, la resistencia de la fotocelda disminuye y la salida del inversor se hace
nuevamente baja. El resultado neto de este proceso es la emisin de un pulso positivo de voltaje. Este pulso se aplica al contador.

Las fotoceldas no responden inmediatamente a los cambios en la intensidad de la luz incidente y, por tanto, generan seales lentas.
Esta es la razn por la cual se emplea una compuerta Schmitt-trigger como dispositivo conformador de pulsos.

El potencimetro R2 permite ajustar la sensibilidad de la fotocelda de acuerdo a la intensidad de la luz incidente. La resistencia R1
sirve de proteccin, evitando que circule una corriente excesiva cuando el potencimetro est en su posicin de mnima resistencia y la
LDR est iluminada.

El contador de pulsos es el corazn de este proyecto. Est desarrollado alrededor de un circuito integrado MC14553. Este chip,
consiste de tres contadores BCD conectados en cascada.

El primer contador registra, en cdigo BCD, las unidades, el segundo las decenas y el tercero las centenas del nmero de pulsos.

Por ejemplo, si han ingresado 319 pulsos, en las salidas del primer contador se tendr el cdigo BCD 0011 (3), en las salidas del
segundo el cdigo 0001 (1) y en las salidas del tercero el cdigo 1001 (9).

Estos tres cdigos se rotan secuencialmente en las salidas del contador MC 14553, apareciendo cada uno durante una pequea fraccin
de tiempo ( 1.6 ms). Esta forma de presentar informacin digital se conoce como multipLex por divisin de tiempo.

Las salidas del contador alimentan un decodificador 4543B, el cual convierte cada cdigo BCD en un cdigo de siete segmentos que
excita, secuencialmente, los displays encargados de visualizar las unidades, decenas y centenas de la cuenta.

En la figura 3 se muestra el dagrama esquemtico completo del contador fotoelctrico. Los pulsos provenientes del conformador se
aplican al pin 12 del MC14553. Para que la cuenta ocurra, las lneas MR (reset maestro, pin13) y DIS (inhibidor, pin11) deben estar
ambas en bajo.

Para iniciar la cuenta a partir de 000 cancelarla en cualquier momento, debe pulsarse el botn de borrado S1 (RESET). De este
modo, la lnea MR (reset maestro pin 13) del MC14553 recibe un alto y todas las salidas BCD de sus contadores internos se hacen
iguales a 0000.

Para detener la cuenta y congelarla en el ltimo valor registrado, sin borrarla, debe pulsarse el botn de paro S2 (STOP). Cuando esto
se hace, la lnea DIS (inhibidor, pin 11) del MC14553 recibe un alto y se inhibe la operacin de los contadores BCD internos.

El condensador C1 determina la frecuencia de exploracin, es decir, la rapidez con la cual el MC14553 muestra secuencialmente en
sus salidas los cdigos de las unidades, decenas y centenas de la cuenta actual.

Você também pode gostar