Você está na página 1de 9

UNIVERSIDAD NACIONAL DE

CHIMBORAZO
FACULTAD DE INGENIERA
ESCUELA DE ELECTRNICA Y TELECOMUNICACIONES

SISTEMAS DIGITALES Y LABORATORIO
Fausto Chvez
fausto.virtual@hotmail.es
Hctor Cajilema
hector55Qhotmail.es
Jesica Quillay
Jessi_elisa@hotmail.com


Tema: Diseo E Implementacin De Un
Robot Multifuncional Mediante El Hardware
VHDL.

ResumenEn este informe muestra el diseo
e implementacin de un robot mvil
multifuncional: Seguidor de lnea, seguidor de
luz y evasor de obstculos, producto del
desarrollo de la prctica de laboratorio
correspondiente a la asignatura de Sistemas
Digitales, abordando los conceptos de
Lenguaje de Descripcin de VHDL.

El dispositivo lgico programable utilizado
para el control del robot mvil fue la FPGA
ESPARTAN 6.

El sensor QRD1114 fue utilizado para la
deteccin de lnea, un par de fotoceldas como
sistema para deteccin de luz y para deteccin
de obstculos unos interruptores tipo bumper.

Palabras ClavesArreglos lgicos
programables, Lenguaje de Descripcin de
Hardware VHDL, Lgica Combinaciones,
Robtica mvil, Seguidor de Lnea, Seguidor
de Luz.
I. INTRODUCCIN
La robtica es un rea que actualmente est
siendo utilizada en un sin nmero de
aplicaciones industriales, domsticas y
educativas, trabajar con robots exige un
conocimiento multidisciplinar de la
electrnica, la informtica y hasta la
mecnica. Conocimiento de sensores,
comunicaciones, motores e incluso
inteligencia artificial hace de esta disciplina
un excelente elemento formativo para
estudiantes y profesionales, colocando en
prctica los conocimientos en cada una de las
temticas anteriormente mencionadas, adems
de generar el deseo y la motivacin de querer
aprender nuevas tcnicas y mtodos que
hagan del robot un sistema ms autnomo e
inteligente.

A. OBJETIVO GENERAL

Diseo E Implementacin De Un
Robot Multifuncional Mediante
Lenguaje VHDL y en el Hardware
FPGA Espartan 6 Zula 2.

B. OBJETIVOS ESPECIFICOS.
Identificar y aprender la importancia
del desarrollo de aplicaciones basadas
en circuitos digitales programables
FPGA.
Aplicar todo lo aprendido en lenguaje
VHDL y en la FPGA Espartan 6.
Realizar la programacin del robot
mediante VHDL.
Probar y quemar en la tarjeta FPGA
Espartan 6.
Implementar el robot multifuncional.

II. MARCO TEORICO

Seguidor De Lnea
Estos robots pueden variar desde los ms
bsicos (van tras una lnea nica) hasta los
robots que recorren laberintos. Todos ellos,
sin embargo, poseen (por lo general) ciertas
partes bsicas comunes entre todos:
Sensores: Un rastreador detecta la lnea a
seguir por medio de sensores. Hay muchos
tipos de sensores que se pueden usar para este
fin; sin embargo, por razones de costos y
practicidad los ms comunes son los sensores
infrarrojos (IR), que normalmente constan de
un LED infrarrojo y un fototransistor.
Motores: El robot se mueve utilizando
motores. Dependiendo del tamao, el peso, la
precisin del motor, entre otros factores, stos
pueden ser de varias clases: motores de
corriente continua, motor paso a
paso o servomotores.
Ruedas: Las ruedas del robot son movidas por
los motores. Normalmente se usan ruedas de
materiales anti-deslizantes para evitar fallas
de traccin. Su tamao es otro factor a tener
en cuenta a la hora de armar el robot.
Fuente de energa: El robot obtiene la energa
que necesita para su funcionamiento de
bateras o de una fuente de corriente alterna,
siendo esta ltima menos utilizada debido a
que le resta independencia al robot.

Seguidor De Luz
Su tarea es encontrar un punto de luz dentro
de un ngulo de deteccin de las Foto-
resistencias y dirigirse lo ms rpido posible
hacia tal fuente de luz.
Xilinx Ise
Xilinx ISE (Entorno de Software Integrado)
es una herramienta de software producido por
Xilinx para la sntesis y el anlisis de los
diseos de HDL, lo que permite al
desarrollador para sintetizar ("compilacin")
sus diseos, realizar anlisis de tiempo,
examinar diagramas RTL, simular la reaccin
de un diseo a diferentes estmulos, y
configurar el dispositivo de destino con el
programador.

III. ALGORITMO PROPUESTO PARA EL
CONTROL DEL ROBOT MVIL
IV. METODOLOGIA

La funcin a realizar por el robot est
determinada por el estado de las entradas de
seleccin (SEL0 y SEL1).
Para la funcin de seguimiento de lnea se
utilizaron un par de sensores (SL0 y SL1),
para el seguimiento de luz se utilizaron un par
de fotoceldas (SLuz0 y SLuz1) y para la
funcin de evasin de obstculos un par de
interruptores (SObs0 y SObs1).
En la lgica combinacional entra la
programacin en VHDL para cumplir cada
funcin y la movilidad del robot est
determinada por el accionamiento conjunto de
dos motores DC controlados por medio de un
puente H integrado.
V. PROCEDIMIENTO
Diseo Del Algoritmo Controlador Del
Robot Mvil Multifuncional
La tabla I muestra la asignacin de funciones
a realizar por el robot mvil, segn los
estados de las lneas de seleccin.
Tabla I. Asignacin de funciones para robot
mvil.


SEL 1 SEL2 FUNCION
0 0 SEGUIDOR DE
LINEA
0 1 SEGUIDOR DE
LUZ
1 0 EVASOR DE
OSTACULOS
1 1 PARAR

A. Seguidor de Lnea
La funcin de seguidor de lnea solo tendr en
cuenta los estados lgicos digitales entregados
por los sensores pticos dispuestos para tal
fin. El acondicionamiento electrnico
realizado a cada sensor ptico para que
entregue dichos estados digitales, se muestra
en la Fig. 2.


Fig. 3. Acondicionamiento de sensores
pticos.
El voltaje de calibracin Vcal se ajusta para
mejorar la sensibilidad de acuerdo a las
condiciones de luminosidad de la pista de
prueba. La lgica utilizada para la activacin
de los motores para la funcin de seguimiento
de lnea se muestra en la Tabla II.
Tabla II. Lgica de control de motores para
seguidor de lnea

Los estados de MOTOR1 y MOTOR2
corresponden a los estados que adoptaran las
lneas de entrada al integrado L293B,
Control0, Control1, Control2 y Control3
respectivamente (Ver Fig. 2). Si ambos
sensores detectan color negro (SL0=0 y
SL1=0) el robot debe avanzar, si se detecta
color blanco por la derecha (SL1=0 y SL0=1)
el robot debe girar a la izquierda, si se detecta
color blanco por la izquierda (SL1=1 y
SL0=0) el robot debe girar a la derecha, y si
ambos sensores detectan color blanco (SL1=1
y SL0=1) el robot debe retroceder.
A. Seguidor de Luz
La funcin de seguidor de luz solo tendr en
cuenta los estados lgicos digitales entregados
por las fotoceldas dispuestas para tal fin. El
acondicionamiento electrnico realizado a
cada fotocelda para que entregue dichos
estados digitales, se muestra en la Fig. 4.\

Fig. 4. Acondicionamiento de sensores de
luminosidad.

La lgica utilizada para la activacin de los
motores para la funcin de seguimiento de luz
se muestra en la Tabla III.

Entonces, si ambos sensores de luminosidad
detectan luz (SLuz1=1 y SLuz0=1) el robot
debe avanzar hacia adelante, si se detecta luz
por la derecha (SLuz1=0 y SLuz0=1) el robot
debe avanzar girando a la derecha, si se
detecta luz por la izquierda (SLuz1=1 y
Sluz0=0) el robot debe avanzar girando a la
izquierda, y por ltimo, si no se detecta
luminosidad (SLuz1=0 y SLuz0=0) el robot
debe parar.
A. Evasor de Obstculos
La funcin de evasin de obstculos solo
tendr en cuenta los estados lgicos digitales
entregados por los interruptores tipo bumper
dispuestos para tal fin. El acondicionamiento
electrnico realizado a cada bumper para que
entregue dichos estados digitales, se muestra
en la Fig. 5.

La lgica utilizada para la activacin de los
motores para la funcin de evasin de
obstculos se muestra en la Tabla IV.
Tabla IV. Lgica de control de motores para
evasin de obstculos.

Si los bumpers no detectan obstculo
(SObs1=1 y SObs0=1) el robot debe avanzar,
si se detecta obstculo por la derecha
(SObs1=0 y SObs0=1) el robot debe girar a la
izquierda, si se detecta obstculo por la
izquierda (SObs1=1 y SObs0=0) el robot debe
girar a la derecha, y por ltimo, si se detecta
obstculo frontal (SObs1=0 y SObs0=0) el
robot debe retroceder.
VI. SIMULACION PROTEUS

VII. PROGRAMACION
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--Uncomment the following library
declaration if using
-- arithmetic functions with Signed or
Unsigned values
--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library
declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

Entity ROBOT is
port(
SEL: in std_logic_vector (1 downto 0);
S_LINEA: in std_logic_vector (1 downto 0);
S_LUZ: in std_logic_vector (1 downto 0);
S_OBST: in std_logic_vector (1 downto 0);
CONTROL: out std_logic_vector (3 downto
0));
end ROBOT;
architecture BEHAVIORAL of ROBOT is
begin
process(SEL, S_LINEA, S_LUZ, S_OBST)
begin
--SEGUIDOR DE LINE
if SEL="00" then
if S_LINEA="00" then
CONTROL<="1010"; --retrocede
elsif S_LINEA="01" then
CONTROL<="0110"; --derecha
elsif S_LINEA="10" then
CONTROL<="1001"; --izquierda
else
CONTROL<="0101"; --adelante
end if;
end if;
--SEGUIDOR DE LUZ
if SEL="01" then
if S_LUZ ="00" then
CONTROL<="1111"; --adelante
elsif S_LUZ ="01" then
CONTROL<="0110"; --izquierda
elsif S_LUZ ="10" then
CONTROL<="1001"; --derecha
else
CONTROL<="0101"; --parar
end if;
end if;
--EVASOR DE OBSTACULOS
if SEL="10" then
if S_OBST="00" then
CONTROL<="0101"; --retrocede
elsif S_OBST="01" then
CONTROL<="1001"; --derecha
elsif S_OBST="10" then
CONTROL<="0110"; --izquierda
else
CONTROL<="1010"; --adelante
end if;
end if;
--OTRO CASO
if SEL="11" then
CONTROL<="1111"; --parar
end if;
end process;
end BEHAVIORAL;



VIII. ESQUEMATICOS












IX. ASIGNACION DE PINES

NET SEL(0) LOC =B15;
NET SEL(1) LOC =B16;
NET S_LINEA(0) LOC =C15;
NET S_LINEA(1) LOC =C16;
NET S_LUZ(0) LOC =F16;
NET S_LUZ(1) LOC =F15;
NET S_OBST(0) LOC =J14;
NET S_OBST(1) LOC =J16;
NET CONTROL(0) LOC =K16;
NET CONTROL(1) LOC =K15;
NET CONTROL(2) LOC =M16;
NET CONTROL(3) LOC =M15;



X. QUEMADA DE LA TARJETA







CARGAMOS .BIT



XI. CONCLUSIONES
Se logr aplicar los conocimientos
adquiridos en el periodo acadmico,
tanto en lo que se obtuvo
conocimiento de la programacin de
lenguaje VHDL como en la tarjeta
FPGA Espartan 6.
El desarrollo de aplicaciones basadas
en dispositivos programables, permite
generar aplicaciones de manera mas
rpida y eficiente, puesto que el diseo
es mas orientado al algoritmo
desarrollado a nivel de software, y los
inconvenientes de implementacin y
cableado pasan a ser problemas menos
tediosos y difciles de corregir.
Para el desarrollo del circuito
combinacional controlador del robot
mvil, es importante identificar las
entradas y salidas del sistema, as
como el funcionamiento general del
mismo, puesto que el lenguaje de
descripcin de hardware VHDL

XII. RECOMENDACIONES
Se recomienda declarar muy bien los
comandos as como tambin puntos y
comas para que funcione
correctamente el programa.
Se debe asignar correctamente los
pines con los pines de la tarje.
Es muy importante no sobrepasar el
voltaje de 5V tanto para la
alimentacin as como tambin
entradas y salidas caso contrario
quemaramos la tarjeta.

XIII. REFERENCIAS
J. Angulo, Introduccin a la Robtica:
Principios tericos, construccin
y programacin de un robot educativo,
Ed. Thomson, 2005, pp 75.
[2] E. Palacios, Microcontrolador
PIC16F84 Desarrollo de Proyectos:
Sensores para Microrobtica, segunda
edicin, Ed. AlfaOmega, 2006,
pp. 515-527.
[3] ATF16V8B DataSheet, Lattice
Semiconductor, disponible en internet:
http://www.datasheetcatalog.org/datas
heets/320/91363_DS.pdf.
[4] C. Roth Jr, Fundamentos de diseo
logico: Descripcin VHDL de
Circuitos Combinacionales, Ed.
Thomson, 2005, pp. 239-244.
[5] J. Wakerly, Diseo Digital
Principios y Practicas: Principios de
diseo
logico-combinacional, Ed. Prentice
Hall, 2001, pp. 193-298.
[6] F. Pardo, VHDL Lenguaje para
Sntesis y Modelado de Circuitos:
Descripcin Comportamental
Algortmica, Ed. Alfaomega, 2004,
pp.
69-82..
[7] J. Garza, Sistemas Digitales y
Electrnica Digital Practicas de
Laboratorio: Captura Esquemtica
ISPLever Starter, Ed. Prentice Hall,
2006, pp. 29-46.
Ruge, Ilber A. Ingeniero Electrnico
egresado de la Universidad
Pedaggica
y Tecnolgica de Colombia sede
Sogamoso Boyac en el ao 2005,
Magister
en Ingeniera de Control Industrial
egresado de la Universidad de Ibagu
Tolima en el ao 2011. Actualmente
se desempea como docente en la
Universidad de Cundinamarca en el
Programa de Ingeniera Electrnica.
Miembro del grupo de Investigacin
GITEINCO clase D ColCiencias.
Temas
de inters: Control inteligente,
energas alternativas, dispositivos
electrnicos
programables entre otros.
Garca , Duvan G. Actualmente esta
culminado estudios en la Universidad.

Você também pode gostar