Você está na página 1de 3

1

PRCTICA IV: PROGRAMACIN VHDL


Gabriela Lissette Carrin Vivar, gcarrionv@est.ups.edu.ec
Universidad Politcnica Salesiana Sede Cuenca

AbstractIn this paper is presented two examples about


VHDL programming using a FPGA module.

I. O BJETIVOS
A. Objetivo General

En este punto, se usarn los siguientes elementos:

Realizar dos programas en lenguaje VHDL y ejecutar la


prctica en el mdulo VHDL del laboratorio.

B. Objetivos Especficos

A. Registro de 8 bits

8 leds.
Dip switch (8)

En las siguientes imgenes se presentan las partes del programa en VHDL usado para la prctica.

Realizar un programa en VHDL que permita el registros


de 8 bits usando dipswitch y leds.
Realizar un programa VHDL que permita mediante leds
de 7 segmentos realizar el conteo ascendente de 0 a 99.
II. I NTRODUCCIN

El lenguaje de programacin VHDL es una excelente


herramienta cuando se trata de generar circuitos digitales
personalizados, permitiendo de esta forma optimizar recursos
y adecuar el entorno al usuario.
En este informe, se presentan dos casos de sistemas digitales
programados con VHDL, su funcionamiento, simulacin y
ejecucin.

Figure 1. Diagrama de bloques

III. M ARCO T ERICO


A. Programacin VHDL
El lenguaje VHDL es un estndar de IEEE de alto nivel
ampliamente usado en la programacin de sistemas digitales.
Tiene un gran mbito de aplicacin; se adapta a las necesidades del diseo de circuitos digitales desde el nivel de sistema
hasta el nivel lgico, permite el modelado y simulacin de
circuitos digitales en mltiples niveles de abstraccin. [1]
B. Mdulo FPGA
Un FPGA (Field-Programmable Gate Array) es un circuito
integrado que puede configurarse para llevar a cabo cualquier
funcin lgica. [2]
Los FPGA son circuitos integrados que contienen bloques
configurables de lgica junto con conexiones configurables
entre esos bloques. Se programan in the field, es decir,
lo puede programar el desarrollador en su campo, en su
laboratorio. Si un dispositivo puede ser programado mientras
est residente, o embebido en un sistema mayor, se dice que
es ISP.[3]
IV. D ESARROLLO
El desarrollo de esta prctica se compone de dos partes:

Figure 2. Programa VHDL

Figure 5. Diagrama de bloques

Figure 3. Simulacin (Diagrama de Ondas) 1

Figure 4. Simulacin (Diagrama de Ondas) 2

Para este ejercicio se han realizado los siguientes pasos:


1) Programar el objeto registro en VHDL. (Figura 2)
2) Generar el objeto y ubicarlo en el diagrama de bloques.
(Figura 1)
3) Ubicar las entradas y salidas en el diagrama de bloques.
(Figura 1)
4) Simular el programa para comprobar su correcto funcionamiento. (Figuras 3 y 4)
a) En la figura 3, se ha realizado el registro de los
bits 11100011.
b) En la figura 4, se ha realizado el registro de los
bits 10010010.
5) Guardar el programa ygrabarlo en el mdulo FPGA.
6) Ejecutar el programa en el mdulo.

Figure 6. Programa VHDL Contador

B. Contador ascendente 0-99


En esta seccin, se han creado dos objetos: el contador, y
el led de 7 segmentos (figura 5).
1) Programar y generar los objetos para luego ubicarlos en
el diagrama de bloques (figuras 6 y 7).
2) Ubicar los elementos junto a las entradas y salidas
correspondientes (figura 5).
3) Simular el programa y comprobar su funcionamiento.
4) Guardar el programa y grabarlo en el mdulo FPGA.
5) Ejecutar el programa en el mdulo.

Figure 7. Programa VHDL Led7Segmentos

Figure 8. Simulacin en Proteus

En la figura 8 se presenta la simulacin de un contador


digital de 0 a 99. Se observan las partes usadas para generar el
programa, as en VHDL se programa un contador binario y
un decodificador BDC a 7 segmentos. Adems, se observan
las entradas y las salidas usadas en cada caso.
V. C ONCLUSIONES
Luego de realizar la prctica, se pudo llegar a las siguientes
conclusiones:
Se logr cumplir los objetivos de la prctica, determinando as que el lenguaje VHDL es un sistema prctico
de realizar circuitos digitales personalizados acorde a las
necesidades del usuario.
Para realizar las simulaciones en el software Quartus,
es necesario crear adems archivos de diagramas de
ondas, los cuales nos permitirn observar en secuencia
el funcionamiento de nuestro sistema.
R EFERENCES
[1] http://ocw.uc3m.es/tecnologia-electronica/
circuitos-integrados-y-microelectronica/teoria_vhdl/
vhdl-2-conceptos-basicos-1
[2] http://hipertextual.com/2010/09/fpga-y-el-sorprendente-poder-del
-hardware-reconfigurable
[3] http://www.dc.uba.ar/materias/disfpga/2012/c1/descargas/Introduccion.
pdf

Você também pode gostar