Escolar Documentos
Profissional Documentos
Cultura Documentos
0, asumiendo
todas las referencias
golpeado en la cach primaria, y una frecuencia de reloj de 4 GHz. Asumir
una memoria principal
tiempo de acceso de 100 ns, incluyendo todo el manejo seorita.
Supongamos que la tasa de fallos
por instruccin en la cach primaria es del 2%. Cunto ms rpido ser el
procesador
ser si aadimos una memoria cach secundaria que tiene un 5 ns tiempo de
acceso, ya sea para un xito o
un fallo y es lo suficientemente grande como para reducir la tasa de fallos a
la memoria principal a 0,5%?
Sol.
El penalti fallado a la memoria principal es
El IPC eficaz con un nivel de almacenamiento en cach est dada por Total
de IPC IPC = Base + Memory-parada ciclos por instruccin Para el
procesador con un nivel de almacenamiento en cach, IPC Total = ciclos 1.0
+ Memory-parada por instruccin = 1,0 + 2% x 400 = 9 Con dos niveles de
almacenamiento en cach, un fallo en el primario (o de primer nivel) de
cach puede ser satisfechos o bien por el cach secundario o por la
memoria principal. El penalti falladopara un acceso a la memoria cach de
segundo nivel es
entity sumador is
port(
A,B:in std_logic_vector(1 downto 0);
HEX0:out std_logic_vector(0 to 6); S:out std_logic_vector(4 downto 0));
end sumador;
architecture rtl of sumador is
constant numero5:std_logic_vector(0 to 6):="0100100"; -- 5
constant numero2:std-logic_vector(0 to 6):="0010010"; -- 2
begin
S<=('0'&A)+B; -- mostramos la suma en 5 bits,
process (A,B)
begin
if (A>B) then
HEX0<=numero2;
else
if(A=B) then
HEX0<=numero5;
else HEX0<="1111111"; -- para no mostrar nada en el display otros casos
end if;
end if;
end process;
end rtl;