Você está na página 1de 124

UNIVERSIDAD SIMN BOLVAR

Decanato de Estudios de Profesionales


Coordinacin de Ingeniera Electrnica

DISEO, ELABORACIN E IMPLEMENTACIN DE UN


RECTIFICADOR TRIFSICO CONTROLADO MEDIANTE PID DIGITAL
COMO ELEMENTO PRIMARIO DE REGULACIN PARA UNA FUENTE
DC DE LABORATORIO DE 15 KW

Por
Jorge Luis Unamo Marquez

Sartenejas Septiembre, 2006

UNIVERSIDAD SIMN BOLVAR


Decanato de Estudios de Profesionales
Coordinacin de Ingeniera Electrnica

DISEO, ELABORACIN E IMPLEMENTACIN DE UN RECTIFICADOR


TRIFSICO CONTROLADO MEDIANTE PID DIGITAL COMO
ELEMENTO PRIMARIO DE REGULACIN PARA UNA FUENTE DC DE
LABORATORIO DE 15 KW

Por
Jorge Luis Unamo Marquez

Realizado con la Asesora de


Julio Walter

PROYECTO DE GRADO
Presentado ante la Ilustre Universidad Simn Bolvar
Como requisito parcial para optar al ttulo de Ingeniero Electrnico

Sartenejas, Septiembre de 2008

ii

UNIVERSIDAD SIMN BOLVAR


Decanato de Estudios de Profesionales
Coordinacin de Ingeniera Electrnica

DISEO, ELABORACIN E IMPLEMENTACIN DE UN RECTIFICADOR


TRIFSICO CONTROLADO MEDIANTE PID DIGITAL COMO ELEMENTO
PRIMARIO DE REGULACIN PARA UNA FUENTE DC DE LABORATORIO
DE 15 KW
PROYECTO DE GRADO PRESENTADO POR
JORGE LUIS UNAMO MARQUEZ
CARNET: 9831328
REALIZADO CON LA ASESORA DE JULIO WALTER

RESUMEN
Existen necesidades de laboratorio para una fuente DC de muy alta potencia que
sin embargo posea buena regulacin y bajo ruido. Para ste tipo de caso siempre ha sido
utilizado un elemento lineal de control de la tensin de salida, el inconveniente es que
inclusive desde potencias bajas la disipacin del elemento de control se hace prohibitiva,
por lo que se usan mtodos alternos de regulacin, tales como control de fase y sistemas
conmutados. Estos sistemas adolecen de problemas de regulacin y de ruido. Sin
embargo, realizando una combinacin de ambas propuestas (lineal y conmutada) se
puede obtener una fuente con baja disipacin de potencia y excelente regulacin. Por ello
se ha diseado y construido una fuente DC de 15kW que cumpla con las caractersticas de
versatilidad, buena regulacin y bajo ruido. El sistema de control utilizado se basa en un
grupo de microcontroladores PICs y CPDLs en configuracin maestro esclavo y un
protocolo robusto de comunicacin I2C. Para la adquisicin y procesamiento de los datos
experimentales se desarrollo una interfaz grfica usando la ayuda de un software
comercial (LabView). La comunicacin entre la fuente y la interfaz esta fundamentada en
el estndar RS-232. El desarrollo de un prototipo de una fuente de ste estilo, permite el
dominio de la tecnologa envuelta en ella para una futura estandarizacin, y de sta
manera explotar al mximo sus ventajas, adems hace de la fuente un producto
altamente comercial gracias a sus mltiples cualidades.

PALABRAS CLAVES
Sistema, medicin, comunicacin, innovacin, eficiencia, transferencia tecnolgica,
procesamiento, robusto, disipacin, regulacin, ruido.

Sartenejas, Septiembre de 2008

iii

AGRADECIMIENTO
Hace algunos aos cuando decid comenzar una carrera universitaria jams pens que
sera tan gratificante escribir unas pocas lneas como estas. Este trabajo es producto de
muchos altibajos en mi vida y de muchas interacciones con distintas empresas,
instituciones y personas.
Instituciones tales como Fundacin Instituto de Ingeniera en la que hoy en da trabajo
y personas como Julio Walter con el que he establecido una gran amistad; No vale la
pena enumerar la cantidad de inconvenientes que se me presentaron a lo largo de esta
ardua carrera para poder optar por el ttulo de Ingeniero Electrnico, lo que si es
conveniente resaltar son nombres como:
Julio Walter
Thas Gmez
Alexabel Liendo
Tomas Ramos
Jos Unamo
Joel Salazar
Nereida Garca
Rubn Fernndez
Manuel Gonzlez
David Madrid
Rafael el Manga
Jairo Pascuzzo
Jess Canales
Nidia Goncalves
Georman Caldern
Entre otras muchas personas que en algn momento me brindaron su apoyo, una sonrisa,
una palmada en la espalda, una respuesta acertada, una conversacin liberadora, su
paciencia y por que no decirlo tambin sus regaos. A todas esas personas que no
mencione y no por eso son menos importantes y a todas las mencionadas aqu les
extiendo mi ms sincero e infinito agradecimiento.
GRACIAS
Pudiese extenderme hojas y hojas de personas, palabras y agradecimientos porque
realmente fui muy afortunado al tener a mi alrededor grandes personajes que nunca
dudaron de mi y mi capacidad. Pero no puedo terminar ste pequeo agradecimiento sin
antes mencionar a mis padres Jorge Unamo y Magaly Marquez, sin ellos nada de esto
hubiese sido posible.

iv

ndice General
1.

2.

Introduccin ....................................................................................... 1
1.1.

Planteamiento del problema ....................................................................... 1

1.2.

Justificacin................................................................................................... 1

1.3.

Limitaciones .................................................................................................. 2

1.4.

Delimitacin .................................................................................................. 2

Cuerpo del Trabajo............................................................................ 3


2.1.

Objetivo General .......................................................................................... 3

2.2.

Objetivos Especficos.................................................................................... 3

2.3.

Hiptesis ........................................................................................................ 3

2.4.

Fundamentos Tericos ................................................................................. 4

2.4.1 El SCR....................................................................................................... 4
2.4.2 El Transistor IGBT.................................................................................... 5
2.4.3 Efecto Hall clsico .................................................................................... 6
2.4.4 Voltajes Trifsicos..................................................................................... 7
2.4.5 Rectificador Trifsico Completamente Controlado .................................. 8
2.4.5.1

Sincronizacin de las Seales.....................................................................12

2.4.6 El controlador PID .................................................................................. 15


2.4.7 Reguladores de Tensin .......................................................................... 18
2.4.7.1
2.4.7.2
2.4.7.3

2.4.8

Comunicacin y Transmisin de Datos .................................................. 20

2.4.8.1
2.4.8.2

3.

Reguladores Conmutados ...........................................................................18


Reguladores Lineales..................................................................................19
Regulador de Tensin en Serie ...................................................................19
Bus I2C .......................................................................................................20
Protocolo Serial ..........................................................................................23

Sistema Adjudicado ......................................................................... 26


3.1.

Presentacin ................................................................................................ 26

3.2.

Esquema de la Fuente DC.......................................................................... 26

3.2.1 El Transformador de Potencia................................................................. 27


3.2.2 Modulo Rectificador y Filtro LC ............................................................ 28
3.2.3 Tarjetas de Control, Visualizadora y Fuente Conmutada ....................... 30
3.2.3.1
3.2.3.2

3.2.4

Tarjeta de Control.......................................................................................31
La Tarjeta Visualizadora.............................................................................32

El Transistor de Potencia IGBT .............................................................. 34

4.

Culminacin del Chasis y Cableado............................................... 36


4.1.

Presentacin ................................................................................................ 36

4.2.

Piezas y Partes Faltantes............................................................................ 36

4.2.1 Rectificacin y Filtrado........................................................................... 36


4.2.2 Visualizacin........................................................................................... 37
4.2.3 Control..................................................................................................... 39
4.2.4 Chasis General......................................................................................... 40
4.2.5 Cableado Preliminar................................................................................ 41

5.

Resultados Experimentales y Consideraciones tericas............... 46


5.1.

Presentacin ................................................................................................ 46

5.2.

Rectificacin Trifsica................................................................................ 46

5.2.1 Acondicionamiento de las Seales de Disparo ....................................... 46


5.2.2 Sincronizacin de los Disparos ............................................................... 48
5.2.3 Duracin del Pulso de Disparo y Observacin de la Onda Rectificada .. 53
5.3.

El PID Discreto ........................................................................................... 60

5.3.1 Caracterizacin del Rectificador ............................................................. 60


5.3.2 Modelo Matemtico del Filtro LC y Entonacin Terica del PID.......... 63
5.3.3 Implementacin del Controlador PID Discreto....................................... 66
5.4.

Regulacin Lineal ....................................................................................... 73

5.4.1

Modificacin en el Cdigo Fuente del PIC............................................. 73

5.4.1.1
5.4.1.2
5.4.1.3

Modificacin de La consigna del PID discreto...........................................73


Mejoramiento de la Comunicacin Serial ..................................................74
Almacenamiento en la EEPROM del PIC ..................................................76

5.4.2 Ampliacin de la Interfaz Grfica ........................................................... 76


5.4.3 Pruebas Preliminares de Regulacin Lineal............................................ 81
5.4.3.1

Calibracin de la Fuente .............................................................................84

5.4.4 Pruebas Finales de la Fuente ................................................................... 87


5.4.4.1
5.4.4.2

Regulacin de Carga...................................................................................90
Mxima Potencia Entregada .......................................................................93

6.

Conclusiones ..................................................................................... 95

7.

Recomendaciones ............................................................................. 96

8.

7.1.

Captura de la Consigna de Voltaje ........................................................... 96

7.2.

Control de Potencia .................................................................................... 96

7.3.

Diferencia de Voltaje entre los Extremos del Regulador, Dinmica ..... 97

Bibliografa ....................................................................................... 99

vi

9.

Apndice.......................................................................................... 100
9.1.

Cdigo Inicial del PIC de Control........................................................... 100

9.2.

Cdigo Final del PIC de Control............................................................. 101

9.3.

Tablas de Calibracin de los Instrumento ............................................. 109

9.4.

Hoja Tcnica del Codificador ptico ..................................................... 110

vii

ndice de Tablas y Figuras


Fig. 2.1: Esquema bsico del SCR y su respectivo smbolo .......................................................4
Fig. 2.2: Smbolo del IGBT: Gate o puerta (G), colector (C) y emisor (E) y su circuito
equivalente...................................................................................................................................5
Fig. 2.3: Seccin de un IGBT ......................................................................................................5
Fig. 2.4: Efecto Hall clsico. .......................................................................................................6
Fig. 2.5: Ejemplo de generacin de energa trifsica. .................................................................8
Fig. 2.6: Circuito de un puente rectificador de 3 fases completamente controlado. ...................8
Fig. 2.7: Voltajes trifsicos..........................................................................................................9
Fig. 2.8: Ejemplo de un rectificador trifsico completamente, detalle de la forma de onda del
voltaje de salida segn el ngulo de disparo y promedio del voltaje (DC)............................11
Fig. 2.9: DC de salida, voltaje en funcin del ngulo de disparo para un rectificador con fuente
de voltaje como parte de la carga. .............................................................................................12
Fig. 2.10: Defasaje del voltaje de lnea RB con respecto a los 3 voltajes de fase.....................13
Fig. 2.11: Representacin fasorial de los voltajes de fase y los voltajes de lnea. ....................13
Fig. 2.12: Interconexin de los transformadores de control para el circuito de disparo. ..........14
Fig. 2.13: Seales de disparo con sus respectivas referencias para =0 ...................................14
Fig. 2.14: Seales de disparo con sus respectivas referencias para =60. ................................15
Fig. 2.15: Diagrama de bloques de un regulador de tensin lineal ..........................................19
Fig. 2.16: a) Regulador de tensin en serie simple. b) Regulador de tensin en serie basado en
un AO ........................................................................................................................................20
Fig. 2.17: Estructura de un BUS I2C.........................................................................................21
Fig. 3.1: Esquema bsico de la fuente con control independiente entre el rectificador y el
regulador....................................................................................................................................26
Fig. 3.2: Configuracin del transformador de potencia.............................................................27

viii
Fig. 3.3: Detalle del transformador y parte del chasis ...............................................................28
Fig. 3.4: Empaque del SKKT91/04 y su respectivo smbolo ....................................................29
Fig. 3.5: Circuito rectificador y filtro LC ..................................................................................29
Fig. 3.6: Bobina del filtro LC 1,4mH @ 150Amp ....................................................................30
Fig. 3.7 Detalle del mdulo metlico, los tiristores y el banco de condensadores ....................30
Fig. 3.8: Tarjetas de Control, Visualizadora y Fuente Conmutada, entregadas. .......................31
Fig. 3.10: Diagrama Circuital de la Tarjeta Visualizadora........................................................33
Fig. 3.11: IGBT SKM400GA124D y su smbolo......................................................................34
Fig. 3.12: Detalle del disipador y sobre ste el mdulo rectificador, el transistor de potencia y
el ventilador. ..............................................................................................................................35
Fig. 4.1: Barras perforas de cobre utilizadas para la interconexin del banco de condensadores
y los 3 pares de tiristores ...........................................................................................................36
Fig. 4.2: Mdulo de tiristores puenteados con las barras de cobre............................................37
Fig. 4.3: Banco de condensadores conectados en paralelo con el par de barras de cobre.........37
Fig. 4.4: Diseo del panel frontal y un soporte necesario para fijar el teclado .........................38
Fig. 4.5: Panel listo y ensamblado.............................................................................................38
Fig. 4.6: Sensor de corriente CSLA2CF....................................................................................39
Fig. 4.7: Diseo en 3D de las piezas necesarias para la medicin de corriente ........................39
Fig. 4.8: Detalle de el sensor de corriente, la barra de cobre con sus respectivas bases y las
conexiones trmicas al disipador...............................................................................................40
Fig. 4.9: Diseo de la carcasa completa de la fuente y bornera ................................................40
Fig. 4.10: a) Detalle de las borneras ya fabricadas b) Carcasa completa del chasis..................41
Fig. 4.11: Esquema del encendido/apagado de seguridad de la fuente .....................................42
Fig. 4.12: a) Chasis ensamblado y pintado ntese el panel frontal. b) Contactor de
enclavamiento para el encendido y apagado de la fuente..........................................................43
Fig. 4.13: a) Fuente completamente cableada. b) Parte trasera del panel frontal......................45
Fig. 4.14: Detalle de la interconexin de la tarjeta de control...................................................45

ix
Fig. 5.1: Disparo de los tiristores 1 y 2 luego de colocar la resistencia de 100......................47
Fig. 5.2: Detalle del acondicionamiento de la seal de disparo. ...............................................47
Fig. 5.3: a) Pulso de Disparo T1 vs RT. b) Pulso de Disparo T2 vs RT. c) Pulso de Disparo T3
vs RT. d) Pulso de Disparo T4 vs RT. e) Pulso de Disparo T5 vs RT. f) Pulso de Disparo T6 vs
RT ..............................................................................................................................................49
Fig. 5.4: Circuito del rectificador trifsico simulado.................................................................50
Fig. 5.5: a) Simulacin de las seales de referencia RT, TS y SR. b) Seales RT, TS y SR
obtenidas en la tarjeta de control. ..............................................................................................51
Fig. 5.6: Seal de referencia RT anloga y RT digital desfasada 180. ....................................52
Fig. 5.7: Seales de disparo T1 y T2 con respecto a la fase R para un =0............................52
Fig. 5.8: De izquierda a derecha: Tarjeta de adquisicin de datos y extensora utilizadas ........54
Fig. 5.9: Cdigo en LabVIEW para registrar las seales de referencia y disparo.....................54
Fig. 5.10: Datos logrados con la tarjeta de adquisicin de datos 779068-01: a) Seales de
referencia analgicas, b) Seales de referencia digitales y c) Pulsos de disparos.....................55
Fig. 5.11: Barrido del pulso de disparo T1 vs. Voltaje rectificado. ..........................................56
Fig. 5.12: Barrido del pulso de disparo T1 vs. Voltaje rectificado, para distintos valores de
(cdigo FPGA corregido). .........................................................................................................58
Fig. 5.13: Detalle de la zona de conmutacin en la seal rectificada con respecto al tren de
pulso de disparo T1. ..................................................................................................................59
Fig. 5.14: Forma de onda de la seal rectificada una vez conectado el filtro LC, para distintos
valores del ngulo de disparo .................................................................................................59
Fig. 5.15: Grfica de voltaje rectificado vs ngulo de disparo (formato 8bits).........................61
Fig. 5.16: Circuito del filtro LC del rectificador. ......................................................................63
Fig. 5.17: Proceso simulado para encontrar las constantes del controlador PID.......................64
Fig. 5.18: Detalle del diagrama de polos y cero del sistema, diagrama de fase, diagrama de
magnitud y respuesta al escaln para el compensador preliminar.............................................65
Fig. 5.18: Detalle del diagrama de polos y cero del sistema, diagrama de fase, diagrama de
magnitud y respuesta al escaln para el compensador ya entonado..........................................66
Fig. 5.19: Respuesta del rectificador ante varias consignas de voltaje (valores medidos a
travs de la tarjeta de control). .................................................................................................69

x
Fig. 5.20: Detalle del sobrepico en la respuesta del controlador (valores medidos a travs de la
tarjeta de control)......................................................................................................................69
Fig. 5.21: Referencia de la oscilacin y el transitorio antes de recuperarse la seal (valores
medidos a travs de la tarjeta de control).................................................................................70
Fig. 5.22: Ensayo final del rectificador controlado por el PID discreto (valores medidos a
travs de la tarjeta de control). .................................................................................................71
Fig. 5.23: Detalle de la respuesta frente al escaln, del ensayo final en el rectificador (valores
medidos a travs de la tarjeta de control).................................................................................71
Fig. 5.24: Referencia de la respuesta del rectificador, ante disminuciones bruscas del set de
voltaje (valores medidos a travs de la tarjeta de control).......................................................72
Fig. 5.25: Esquema bsico de la regulacin propuesta..............................................................74
Fig. 5.26: Formato de una de las tramas de envo de datos a la PC. .........................................74
Fig. 5.26: Trama de envo de las constantes del controlador PID a la PC. ...............................75
Fig. 5.27: Detalle de una parte de la interfaz grfica modificada..............................................77
Fig. 5.28: Panel frontal de la interfaz grfica mejorada. ...........................................................78
Fig. 5.29: Parte del cdigo implementado, para la interfaz grfica modificada. Estado 5:
recepcin y visualizacin de los valores de la fuente................................................................79
Fig. 5.30: Parte del cdigo de la mquina de estados. Estado 6: Recepcin de constantes. .....80
Fig. 5.31: Prueba preliminar de regulacin (valores medidos a travs de la tarjeta de control).
...................................................................................................................................................81
Fig. 5.32: Lazo dual de control para el transistor de potencia...................................................82
Fig. 5.33: Respuesta del regulador, luego de la entonacin anloga preliminar (valores
medidos a travs de la tarjeta de control).................................................................................82
Fig. 5.34: Detalle de un ensayo preliminar del regulador lineal (valores medidos a travs de la
tarjeta de control)......................................................................................................................83
Fig. 5.35: Calibracin de la medicin de la corriente de salida. ...............................................86
Fig. 5.36: Calibracin del set en el voltaje de salida. ................................................................86
Fig. 5.38: Prueba final de la fuente, con picos de potencia en el elemento de paso..................87
Fig. 5.39: Detalle del pico de potencia en el elemento de paso.................................................88

xi
Fig. 5.40: Prueba de la fuente en modo: fuente de corriente. ....................................................89
Fig. 5.41: Ensayo preliminar de regulacin de carga. ...............................................................91
Fig. 5.42: Detalle de la regulacin de lnea para voltajes altos del rectificador........................92
Fig. 5.43: Prueba de la fuente DC entregando 12,5 kW............................................................93
Fig. 5.44: Prueba de la fuente DC entregando 13,4 kW............................................................94
Fig. 7.1: Control de potencia para proteger al elemento regulador. ..........................................97
Fig. 7.2: Diferencia de voltaje dinmica....................................................................................98

xii

Tabla 2.1: Par de diodos en conduccin segn el ngulo , s se sustituyeran los tiristores de la
figura 2.6 por diodos..................................................................................................................10
Tabla 2.2: Par de SCRs en conduccin segn el ngulo de disparo . ....................................11
Tabla 5.1: Valores medidos en el rectificador, que reflejan la relacin entre el ngulo de
disparo () y el voltaje en el mismo..........................................................................................60
Tabla 5.2: Valores enteros calculados del controlador PID y su correspondiente .................62
Tabla 5.3: Tabla para la calibracin de la fuente (valores medidos).........................................85
Tabla 9.1: Calibracin de los instrumentos. ............................................................................109

xiii

Lista de Smbolos y Abreviaturas


:

Frecuencia de la red (en rad/s).

Hz :

Frecuencia de la red en herzios.

Vrms:

Root mean Square (raz media cuadrtica).

AC:

Altern current (corriente Alterna).

DC:

Direct Current (corriente directa).

SCR:

Silicon controller rectifier (rectificador controlado de silicio).

PNPN:

Positivo negativo positivo negativo.

BJT:

Bipolar junction transistor (transistor bipolar).

FET:

Field effect transistor (transistor de efecto de campo).

J0 :

Densidad de corriente en la superficie de la pieza.

P0:

Potencia disipada en la superficie de la pieza.

L:

Inductancia del inductor de calentamiento.

C:

Condensador para compensar la potencia reactiva de L.

Zc:

Impedancia caracterstica.

s:

Variable de la Transformada de Laplace.

Z(s):

Funcin impedancia.

Q:

Factor de calidad de la bobina a la frecuencia de resonancia.

IGBT:

Insulated Gate Bipolar Transistor.

P, W:

Potencia de salida del inversor serie.

V:

Tensin soportada por el conmutador.

I:

Corriente por el conmutador.

PID:

Red de compensacin Proporcional Integro-Diferencial

1.

Introduccin

1.1. Planteamiento del problema


Gracias al desarrollo tecnolgico tan acelerado que ha venido experimentando el
mundo en stas ultimas dcadas, la sociedad venezolana se ha visto en la imperiosa
necesidad de formar cada vez ms profesionales en el rea tecnolgica, capaces de
investigar y desarrollar proyectos de mediana y gran envergadura.
El estado venezolano en el afn de no quedarse atrs ante el desarrollo
tecnolgico global invierte grandes esfuerzos en instituciones dedicadas a la formacin de
profesionales tcnicos y de investigacin, por medio de escuelas tcnicas, politcnicos,
universidades, etc. Adems de instituciones como el IVIC (Instituto Venezolano de
Investigaciones Cientficas), IDEA (Instituto de Estudios Avanzados) y la FII (Fundacin
Instituto de Ingeniera) las cules brindan al estado venezolano servicios tecnolgicos,
donde se puede destacar como una de las primordiales caractersticas la transferencia de
tecnologa.
Regularmente en las diversas instituciones antes mencionadas existe la necesidad
de fuentes DC regulables para laboratorio, que manejen altos niveles de potencia con
buenos mrgenes de regulacin y bajo ruido. Lo que se estila en ste tipo de casos es la
utilizacin de un dispositivo lineal para el control del voltaje de salida, pero el
inconveniente surge cuando an a bajos niveles potencias la disipacin en el elemento de
paso se hace prohibitiva.

1.2. Justificacin
Claro esta que en Venezuela podemos adquirir a travs de importaciones fuentes
DC de laboratorio de altsima potencia y bajo ruido. Pero a un alto precio y sin
transferencia de tecnologa. En este sentido y enmarcado en las polticas de estado,
instituciones como La Fundacin Instituto de Ingeniera (FII) apuesta directamente a la
fabricacin de productos nacionales donde el conocimiento, el dominio de la tecnologa,
la experiencia y la investigacin reposen en nuestros profesionales.
En estos momentos la FII esta desarrollando un proyecto de ndole interno cuyo
objetivo macro es incursionar de manera profunda en un campo que tiene mucho auge
desde hace algn tiempo; el cul es, el de las energas alternativas. Para as ms

2
adelante contar con la experiencia y las herramientas necesarias para brindar un servicio
de calidad a una gran diversidad de clientes as como tambin apoyar y transferir
conocimientos a comunidades de bajos recursos y difcil acceso por medio de planes de
accin nacional.
Una de las fases del proyecto es el diseo, implementacin y desarrollo de
inversores de media potencia (hasta 35 kVA), como bien sabemos inversores de este tipo
necesitaran de un gran banco de bateras para su funcionamiento. Para efectos de
pruebas experimentales en el desarrollo de un prototipo de un inversor de este estilo, no
es prctico ni rentable disponer de un gran banco de bateras, sobre todo por el
mantenimiento, el proceso de carga y descarga y el costo del mismo la mejor solucin es
utilizar los recursos disponibles, en este caso la red trifsica convencional.
Aqu es donde entra en relacin directa la elaboracin de un convertidor AC-DC o
si se quiere llamarlo, una fuente de poder DC regulable para sustituir esos grandes
bancos de bateras por un solo dispositivo capaz de suministrar esos niveles de potencia y
que adems es til en muchas otras aplicaciones. El hecho de que sta fuente tenga
muchas otras aplicaciones, su costo de elaboracin sea relativamente econmico en
comparacin con un dispositivo similar importado y que adems es altamente eficiente y
til; hace de ella un producto altamente comercializable.

1.3. Limitaciones
Debido a su carcter de prototipo, ste proyecto estar sujeto a cambios de forma
y de fondo, que no estn contemplados en un principio sino que se irn presentado a
travs del desarrollo del mismo. Esta fuente no cuenta con un software ni una interfaz
grfica que permita el anlisis de sus parmetros en detalle, la elaboracin de un
controlador PID digital para un rectificador controlado no contempla dicho software, ni
todas las mejoras posibles que se le puedan efectuar al sistema para su posible
comercializacin.

1.4. Delimitacin
El presente proyecto ser ejecutado en la Fundacin Instituto de Ingeniera,
especficamente el departamento de Ingeniera Elctrica y Sistemas en un lapso de 24
semanas, al final de dicho tiempo la fuente estar totalmente operativa con las mejoras y
cambios que hayan sido necesarios debidamente implementados.

2.

Cuerpo del Trabajo

2.1. Objetivo General


Disear, elaborar e implementar un Rectificador Trifsico controlado mediante un
control discreto (PID digital), como elemento primario de regulacin para una fuente DC
de laboratorio de 15 kW.

2.2. Objetivos Especficos

Revisar la bibliografa referente de todos los tpicos referentes a rectificacin trifsica,


transistores de potencia en especial IGBT, tiristores de potencia y todo el material
suministrado por el Profesor Julio Walter.

Culminar el chasis: diseo y fabricacin de piezas diversas para el correcto


funcionamiento de la fuente.

Interconectar y cablear los circuitos impresos y dispositivos que conforman todo el


sistema: banco de condensadores, banco de tiristores, bobina de choque y
transformador de potencia.

Realizar de pruebas preliminares de los circuitos impresos: comunicacin entre ellos,


correcto funcionamiento, primeros ensayos de rectificacin y de control manual.

Disear e implementar el control PID discreto.

Ejecutar las pruebas preliminares de autorregulacin regidas por el controlador PID


discreto.

Ejecutar las pruebas finales de regulacin con el elemento de paso integrado para
validar su funcionamiento.

Elaborar el manual de usuario para asegurar el buen funcionamiento de la fuente.

2.3. Hiptesis
Es posible crear una fuente DC de laboratorio de 15kW utilizando como elemento
de paso regulador un transistor de potencia, operado en la zona lineal y siendo regido su
entorno por controladores PIDs anlogos y discretos.

2.4. Fundamentos Tericos


Debido al carcter experimental de ste proyecto los fundamentos tericos a
abarcar son muchos, as que solamente se describirn a continuacin los ms
importantes.

2.4.1

El SCR
El rectificador controlado de silicio (en ingls SCR: Silicon Controlled Rectifier) es

un dispositivo de estado slido formado por cuatro capas de material semiconductor con
estructura PNPN o bien NPNP. El nombre proviene de la unin de Tiratrn (tyratron) y
Transistor. La figura 2.1 muestra el esquema del SCR.

Fig. 2.1: Esquema bsico del SCR y su respectivo smbolo


Un SCR posee tres conexiones: nodo, ctodo y puerta. La puerta es la encargada
de controlar el paso de corriente entre el nodo y el ctodo. Funciona bsicamente como
un diodo rectificador controlado, permitiendo circular la corriente en un solo sentido.
Mientras no se aplique ninguna tensin en la puerta del SCR no se inicia la conduccin y
en el instante en que se aplique dicha tensin, el tiristor comienza a conducir. Una vez
arrancado, podemos anular la tensin de puerta y el tiristor continuar conduciendo hasta
que la corriente de carga disminuya por debajo de la corriente de mantenimiento.
Trabajando en corriente alterna el SCR se desactiva en cada alternancia o semiciclo.
Cuando se produce una variacin brusca de tensin entre nodo y ctodo de un tiristor,
ste puede dispararse y entrar en conduccin an sin corriente de puerta. Por ello se da
como caracterstica la tasa mxima de subida de tensin que permite mantener
bloqueado el SCR. Este efecto se produce debido al condensador parsito existente entre
la puerta y el nodo. Los SCR se utilizan en aplicaciones de electrnica de potencia y de
control. Podramos decir que un SCR funciona como un interruptor electrnico.

5
2.4.2

El Transistor IGBT
El transistor bipolar de puerta aislada (IGBT, del ingls Insulated Gate Bipolar

Transistor) es un dispositivo semiconductor que generalmente se aplica como interruptor


controlado en circuitos de electrnica de potencia. La figura 2.2 muestra el esquema del
transistor IGBT.

Fig. 2.2: Smbolo del IGBT: Gate o puerta (G), colector (C) y emisor (E) y su circuito
equivalente
Este dispositivo posee la caractersticas de las seales de puerta de los transistores
de efecto campo con la capacidad de alta corriente y bajo voltaje de saturacin del
transistor bipolar, combinando una puerta aislada FET para la entrada de control y un
transistor bipolar como interruptor en un solo dispositivo. El circuito de excitacin del
IGBT es como el del MOSFET, mientras que las caractersticas de conduccin son como
las del BJT. Los transistores IGBT han permitido desarrollos, hasta hace relativamente
poco tiempo, no viables, en particular en los variadores de frecuencia as como en las
aplicaciones en maquinas elctricas y convertidores de potencia que nos acompaan cada
da y por todas partes, sin que seamos particularmente concientes de eso: automvil,
tren, metro, autobs, avin, barco, ascensor, electrodomsticos, televisin, etc. En la
figura 2.3 se puede ver la seccin de un IGBT.

Fig. 2.3: Seccin de un IGBT

6
El IGBT es adecuado para velocidades de conmutacin de hasta 20 kHz y ha
sustituido al BJT en muchas aplicaciones. Es usado en aplicaciones de altas y medias
energas como fuente conmutada, control de la traccin en motores y cocinas de
induccin. Grandes mdulos de IGBT que consisten en muchos dispositivos colocados en
paralelo, pueden manejar altas corrientes, del orden de miles de amperios con voltajes de
bloqueo de hasta 6.000 voltios.
Se puede concebir el IGBT como un Darlington hibrido, tiene la capacidad de
manejo de corriente de un bipolar pero no requiere de la corriente de base para
mantenerse en conduccin. Sin embargo las corrientes transitorias de conmutacin de la
base pueden ser igualmente altas. En aplicaciones de electrnica de potencia es el
intermedio entre los tiristores y los mosfets. Maneja ms potencia que los segundos
siendo ms lento que ellos y lo inverso respecto a los primeros.

2.4.3

Efecto Hall clsico


Cuando por un material conductor o semiconductor, circula una corriente elctrica,

y estando este mismo material en el seno de un campo magntico, se comprueba que


aparece una fuerza magntica en los portadores de carga que los reagrupa dentro del
material, esto es, los portadores de carga se desvan y agrupan a un lado del material
conductor o semiconductor, apareciendo as un campo elctrico perpendicular al campo
magntico y al propio campo elctrico generado por la batera. Este campo elctrico es el
denominado campo Hall, y ligado a l aparece la tensin Hall, que se puede medir
mediante un voltmetro.

Fig. 2.4: Efecto Hall clsico.

7
En el caso de la figura 2.4, tenemos una barra de un material desconocido y
queremos saber cuales son sus portadores de carga. Para ello, mediante una batera
hacemos circular por la barra una corriente elctrica. Una vez hecho esto, introducimos la
barra en el seno de un campo magntico uniforme y perpendicular a la tableta.
Aparecer entonces una fuerza magntica sobre los portadores de carga, que
tender a agruparlos a un lado de la barra, apareciendo de este modo una tensin Hall y
un campo elctrico Hall entre ambos lados de la barra. Dependiendo de si la lectura del
voltmetro es positiva o negativa, y conociendo el sentido del campo magntico y del
campo elctrico originado por la batera, se puede deducir si los portadores de carga de la
barra de material desconocido son las cargas positivas o las negativas.

2.4.4

Voltajes Trifsicos
La generacin y transmisin de potencias elctricas son ms eficientes en sistemas

polifsicos que emplean combinaciones de dos, tres o ms voltajes sinusoidales. Adems


los circuitos y las maquinas polifsicas poseen ciertas ventajas nicas. Por ejemplo, la
potencia transmitida en un circuito trifsico es constante o independiente del tiempo en
vez de pulsante, como en un circuito monofsico. As mismo, los motores trifsicos
arrancan y funcionan mucho mejor que los monofsicos. La forma ms comn de un
sistema polifsico utiliza tres voltajes balanceados de igual magnitud y desfasados en 120
grados.
Un generador de AC elemental consta de un magneto giratorio y un devanado fijo.
Las vueltas del devanado se distribuyen por la periferia de la mquina. El voltaje
generado en cada espira del devanado esta ligeramente desfasado del generado por el
ms prximo, debido a que la densidad mxima de flujo magntico la corta un instante
antes o despus. Si el primer devanado se continuara alrededor de la maquina, el voltaje
generado en la ltima espira estara desfasado 180 grados de la primera y se cancelaran
sin ningn efecto til. Por esta razn, un devanado se distribuye comnmente en no ms
de un tercio de la periferia; los otros dos tercios se pueden ocupar con dos devanados
ms, usados para generar otros dos voltajes similares.
Un circuito trifsico genera distribuye y utiliza energa en forma de tres voltajes,
iguales en magnitud y simtricos en fase. Las tres partes similares de un sistema trifsico
se llaman fases. Como el voltaje en la fase A alcanza su mximo primero, seguido por la
fase B y despus por la C se dice que la rotacin de fases es ABC. Esta es una convencin

8
arbitraria; en cualquier generador, la rotacin de fases puede invertirse, si se invierte el
sentido de rotacin. La figura 2.5 muestra un ejemplo de generacin trifsica.

Fig. 2.5: Ejemplo de generacin de energa trifsica.

2.4.5

Rectificador Trifsico Completamente Controlado


El funcionamiento de un puente rectificador de 3 fases completamente controlado

se describe en esta parte. Un puente rectificador trifsico totalmente controlado puede


ser construido utilizando seis tiristores o SCRs como se muestra a continuacin en la
figura 2.6.

Fig. 2.6: Circuito de un puente rectificador de 3 fases completamente controlado.


El circuito puente rectificador de tres fases consta de tres ramas, cada una de ellas
conectada a uno de los tres voltajes de fase. Alternativamente, se puede observar que el
puente tiene dos mitades de circuito, el medio positivo consistente en los SCRs S1, S3 y
S5 y el medio negativo consistente de los SCRs S2, S 4 y S 6. En cualquier momento,
un SCR de cada mitad esta encendido cuando hay corriente elctrica siempre y cuando

9
exista el pulso de disparo adecuado para el dispositivo. Si la secuencia de fases de la
fuente es RYB, los SCRS se activan en la secuencia S1, S2, S3, S4, S5, S6, S1 y as
sucesivamente. Los tres voltajes de fase varan segn se indica La figura 2.7.

Fig. 2.7: Voltajes trifsicos.


Los tres voltajes de fase se definen como se muestra a continuacin:

VR ( ) = E * Sin( )

(2.1)

VY ( ) = E * Sin( 120)

(2.2)

VB ( ) = E * Sin( + 120)

(2.3)

Se puede observar que la tensin de fase R es la ms alta de los tres voltajes de


fase cuando est en el rango de 30 a 150. Tambin puede verse que la tensin de
fase Y es el ms alto de los tres voltajes de fase cuando est en el rango de 150 a
270 y que la tensin de la fase B es la ms alta de los tres voltajes de fase cuando
est en el rango de 270 a 390 o 30 en el siguiente ciclo. Tambin se observa que la
primera tensin de fase es la ms baja de los tres voltajes de fase cuando est en el
rango de 210 a 330. Igualmente la tensin fase Y es la ms baja de los tres voltajes de
fase cuando est en el rango de 330 a 450 o 90 en el siguiente ciclo, y que la fase B
es la ms baja de voltaje cuando est en el rango de 90 hasta 210. Si se utilizan
diodos, diodo el D1, en lugar del SCR1 conducira a partir de 30 a 150, el diodo D3
conducira de 150 a 270 y el diodo D5 de 270 a 390 o 30 en el siguiente semiciclo.
De la misma manera, el diodo D4 conducir a partir de 210 a 330, el diodo D6 de
330 a 450 o 90 en el siguiente ciclo, y el diodo D2 conducir a partir de 90 hasta

10
210 . El bus positivo de la tensin de salida del puente est conectado a la parte alta del
conjunto de las tres fases, y la parte negativa del bus de la tensin de salida a la parte
baja del conjunto. En cualquier instante del barrido del ngulo , existen cambios de
perodos en que el flujo de corriente de un diodo se transfiere a otro, slo uno de los
siguientes pares se lleva a cabo en determinado momento. La tabla 2.1 muestra el par
de diodos en conduccin.

Periodo, rango de

Par de diodos en conduccin

30 a 90o

D1 y D6

90o a 150o

D1 y D2

150o a 210o

D2 y D3

210o a 270o

D3 y D4

270o a 330o

D4 y D5

330o a 360o y 0o a 30o

D5 y D6

Tabla 2.1: Par de diodos en conduccin segn el ngulo , s se sustituyeran los tiristores
de la figura 2.6 por diodos.
Si se utilizan SCRs, como muestra la figura 2.6 su conduccin puede ser
retrasada por la eleccin del ngulo de disparo deseado. Cuando el SCR se dispara a 0
del ngulo de disparo , la salida del puente rectificador sera la misma que la del circuito
con diodos. Por ejemplo, se ve que D1 comienza a conducir slo despus que

=30. De

hecho, se puede empezar la conduccin slo despus de =30, ya que antes de =30 el
diodo est polarizado en inverso. La polarizacin a travs de D1 viene a ser cero cuando
=30 y el diodo D1 comienza a polarizarse en directa slo despus de =30. Cuando

VR ( ) = E * Sin( ) ,

el diodo D1 est inversamente polarizado antes de =30 y est

directamente polarizado para >30 . Cuando el ngulo de disparo de los SCRs es cero
grado, S1 se activa cuando =30. Esto significa que si se quiere una sincronizacin de la
seal de disparo que se necesita para activar S1, la seal de voltaje

VR ( ) = E * Sin( )

11
debera estar desfasada por 30 y si el ngulo de disparo es , S1 se activa cuando =
+30. Dado que la conduccin es continua, la tabla siguiente presenta el par SCR en
conduccin en cualquier instante. La figura 2.8 muestra un ejemplo de rectificador
trifsico completamente controlado y la 2.9 el voltaje DC de salida del mismo.

Perodo, rango de
a + 90o

yS

+ 90o a + 150o

yS

+ 150o a + 210o

yS

+ 210o a + 270o

yS

+ 270o a + 330o

yS

+ 330o a + 360o y + 0o a + 30o

yS

+ 30

Par de SCRs en conduccin

Tabla 2.2: Par de SCRs en conduccin segn el ngulo de disparo .

Fig. 2.8: Ejemplo de un rectificador trifsico completamente, detalle de la forma de onda


del voltaje de salida segn el ngulo de disparo y promedio del voltaje (DC).

12

Fig. 2.9: DC de salida, voltaje en funcin del ngulo de disparo para un rectificador con
fuente de voltaje como parte de la carga.

2.4.5.1

Sincronizacin de las Seales

Para variar la tensin de salida, es necesario variar el ngulo de disparo y para


realizar esto ltimo, una tcnica de uso comn es establecer una sincronizacin de la
seal para cada SCR. Se ha visto que el grado cero del ngulo de disparo se produce 30
grados despus del cero de cruce de la respectiva fase de tensin. Si la sincronizacin de
la seal va a ser una seal senoidal, existe un retraso de 30 con las respectivas fases.
Cuando las 3 fases de la fuente de suministro estn conectadas en estrella y el
rectificador est conectado, el voltaje de la lnea y las tensiones de fase tienen 30
desfase entre ellos, como se muestra en la figura 2.10.
El voltaje de lnea tambin puede obtenerse matemticamente como:

V RB ( )= V R ( ) V B ( )
V RB ( )= E * Sin( ) E * Sin( + 120)

V RB ( )= E * Sin( )

E
3*E
* Sin( )
* Cos ( )
2
2

V RB ( )= 3 * E * Sin( 30)

(2.4)

13

Fig. 2.10: Defasaje del voltaje de lnea RB con respecto a los 3 voltajes de fase.

Fig. 2.11: Representacin fasorial de los voltajes de fase y los voltajes de lnea.
Este retardo en el voltaje de lnea de la fase RB es de 30o y tiene una amplitud que
es 1,732 veces la amplitud de la tensin fase. La sincronizacin de la seal para S1 se
puede obtener sobre la base del voltaje de lnea VRB y La sincronizacin de las seales
para los otros SCR se puede obtener de manera similar. Para obtener la sincronizacin de
las seales, se pueden utilizar tres transformadores de control, con los devanados
primarios conectados en delta y los secundarios en estrella, como se muestra en la figura
2.12.

14

Fig. 2.12: Interconexin de los transformadores de control para el circuito de disparo.


Para S1, el voltaje VS1 se utiliza como la seal de sincronizacin de tensin, VS2 se
utiliza como seal para la sincronizacin del S2 y as sucesivamente. Se pueden ver las
seales de disparo como 3 pares de seales VS63, VS25 y VS14 donde las seales en cada
par estn desfasadas 180, a su vez las referencias de stas seales de disparo estn
desfasadas por 120, en las figuras 2.13 y 2.14 se pueden ver las 6 seales de disparo
para distintos ngulos de disparo, cada una dibujada con el color correspondiente a su
referencia, as mismo se puede observar el defasaje de 180 entre los pares de seales
quedando como resultado las seis seales de disparo separadas entre si por 60 y
describiendo la secuencia expuesta en la parte 2.4.2 de encendido de los tiristores S1, S2,
S3, S4, S5, S6.

Fig. 2.13: Seales de disparo con sus respectivas referencias para =0

15

Fig. 2.14: Seales de disparo con sus respectivas referencias para =60.

2.4.6

El controlador PID
Un controlador PID (Proporcional Integral Derivativo) es un sistema de control que

mediante un actuador, es capaz de mantener una variable o proceso en un punto deseado


dentro del rango de medicin del sensor que la mide. Es uno de los mtodos de control
ms frecuentes y precisos dentro de la regulacin automtica. Para el correcto
funcionamiento de un controlador PID que regule un proceso o sistema se necesita, al
menos:
Un sensor, que determine el estado del sistema por ejemplo un
termmetro.
Un controlador, que genere la seal que gobierna al actuador.
Un actuador, que modifique al sistema de manera controlada (resistencia
elctrica, motor, vlvula o bomba).
El sensor proporciona una seal analgica al controlador, la cual representa el
punto actual en el que se encuentra el proceso o sistema. La seal puede representar ese
valor en tensin elctrica, intensidad de corriente elctrica o frecuencia. En este ltimo
caso la seal es de corriente alterna, a diferencia de los dos anteriores, que son con
corriente continua. El controlador lee una seal externa que representa el valor que se
desea alcanzar. Esta seal recibe el nombre de punto de consigna (o punto de
referencia), la cual es de la misma naturaleza y tiene el mismo rango de valores que la
seal que proporciona el sensor. Para hacer posible esta compatibilidad, y que a su vez,
la seal pueda ser entendida por un humano, habr que establecer algn tipo de interfaz.

16
El controlador resta la seal de punto actual a la seal de punto de consigna,
obteniendo as la seal de error, que determina en cada instante la diferencia que hay
entre el valor deseado y el valor medido. La seal de error es utilizada por cada una de
las 3 componentes de un controlador PID propiamente dicho para generar las 3 seales
que sumadas componen la seal que el controlador va a utilizar para gobernar al
actuador. La seal resultante de la suma de estas tres seales, se llama variable
manipulada y no se aplica directamente sobre el actuador, si no que debe ser
transformada para ser compatible con el actuador que usemos.
Las tres componentes de un controlador PID son: parte proporcional, accin
integral y accin derivativa. El peso de la influencia que cada una de estas partes tiene en
la suma final, viene dado por la constante proporcional, el tiempo integral y el tiempo
derivativo, respectivamente. La parte proporcional consiste en el producto entre la seal
de error y la constante proporcional. Esta componente PID toma un papel importante
cuando la seal de error es grande, pero su accin se ve mermada con la disminucin de
dicha seal. Este efecto tiene como consecuencia la aparicin de un error permanente,
que hace que la parte proporcional nunca llegue a solucionar por completo el error del
sistema.
La constante proporcional determinar el error permanente, siendo ste menor
cuanto mayor sea el valor de la constante proporcional. Se pueden establecer valores
suficientemente altos en la constante proporcional como para que hagan que el error
permanente sea casi nulo pero, en la mayora de los casos, estos valores solo sern
ptimos en una determinada porcin del rango total de control, siendo distintos los
valores ptimos para cada porcin del rango. Sin embargo, existe tambin un valor lmite
en la constante proporcional a partir del cual, en algunos casos, el sistema alcanza
valores superiores a los deseados. Este fenmeno se llama sobre oscilacin y, por razones
de seguridad, no debe sobrepasar el 30%, aunque es conveniente que la parte
proporcional ni siquiera produzca sobre oscilacin. La parte proporcional no considera el
tiempo, por tanto la mejor manera de solucionar el error permanente y hacer que el
sistema contenga alguna componente que tenga en cuenta la variacin con respecto al
tiempo es incluyendo y configurando las acciones integral y derivativa.
El modo de control Integral tiene como propsito disminuir o eliminar el error en
estado estacionario, provocado por el modo proporcional. El error es integrado, lo cual
tiene la funcin de promediarlo o sumarlo por un periodo de tiempo determinado; Luego
es multiplicado por una constante I. I representa la constante de integracin.

17
Posteriormente, la respuesta integral es adicionada al modo Proporcional para formar el
control P + I con el propsito de obtener una respuesta estable del sistema sin error
estacionario. El modo integral presenta un desfasamiento en la respuesta de 90 que
sumados a los 180 de la retroalimentacin (negativa) acercan al proceso a tener un
retraso de 270, luego entonces solo ser necesario que el tiempo muerto contribuya con
90 de retardo para provocar la oscilacin del proceso. La ganancia total del lazo de
control debe ser menor a 1, y as inducir una atenuacin en la salida del controlador para
conducir el proceso a estabilidad del mismo.
La accin derivativa se manifiesta cuando hay un cambio en el valor absoluto del
error; (si el error es constante, solamente actan los modos proporcional e integral). El
error es la desviacin existente entre el punto de medida y el valor de consigna, o "La
consigna". La funcin de la accin derivativa es mantener el error al mnimo corrigindolo
proporcionalmente con la velocidad misma que se produce; de esta manera evita que el
error se incremente. Se deriva con respecto al tiempo y se multiplica por una constante D
y luego se suma a las seales anteriores (P+I). Gobernar la respuesta de control a los
cambios en el sistema ya que una mayor derivativa corresponde a un cambio ms rpido
y el controlador puede responder acordemente.
Significado de las constantes
P constante de proporcionalidad: se puede ajustar como el valor de la ganancia
del controlador o el porcentaje de banda proporcional.
I constante de integracin: indica la velocidad con la que se repite la accin
proporcional.
D constante de derivacin: hace presente la respuesta de la accin proporcional
(duplicndola), sin esperar (a que el error se duplique). El valor indicado por la constante
de derivacin es el lapso de tiempo durante el cual se manifestar la accin proporcional
correspondiente a 2 veces el error y despus desaparecer.
Tanto la accin Integral como la accin Derivativa, afectan a la ganancia dinmica
del proceso. La accin integral sirve para reducir el error estacionario, que existira
siempre si la constante Ki fuera nula.

18
Por tener una exactitud mayor a los controladores proporcional, proporcional
derivativo y proporcional integral el controlador PID se utiliza en aplicaciones ms
cruciales tales como control de presin, flujo, qumica, fuerza, velocidad y otras variables
adems de ser utilizado en reguladores de velocidad de automviles.

2.4.7

Reguladores de Tensin
Todos los circuitos electrnicos requieren de una o ms fuentes de alimentacin

estables de corriente continua para su funcionamiento, las fuentes DC convencionales


construidas con un transformador, un rectificador y un filtro (fuentes de alimentacin no
reguladas) no proporcionan una calidad suficiente ya que sus tensiones de salida varan
de acuerdo a la cantidad de corriente que circula por la carga y con la tensin de la lnea,
adems presentan una cantidad significativa de rizado a la frecuencia de la red. Por ello,
no son generalmente adecuadas para la mayora de las aplicaciones.
La funcin de un regulador de tensin es proporcionar una salida estable y bien
especificada, para alimentar a otros circuitos a partir de una fuente de alimentacin de
entrada de poca calidad; despus del amplificador operacional el regulador lineal es el
circuito integrado ms extensamente utilizado. Se pueden dividir a los reguladores de
tensin en dos grandes grupos, los reguladores conmutados y los lineales.

2.4.7.1

Reguladores Conmutados

Utilizan un transistor de potencia como un conmutador de alta frecuencia, de tal


manera de que la energa se transfiera de la entrada a la carga en forma de paquetes
discretos. Los pulsos de intensidad se transforman luego en corriente continua a travs
de un filtro inductivo y capacitivo. Puesto que, cuando el transistor opera como
conmutador consume menos potencia que cuando opera en su zona lineal, estos
reguladores son ms eficientes que los reguladores lineales; adems de ser ms
pequeos y ligeros.
Estos reguladores pueden disearse para que operen directamente con la tensin
de la red rectificada y filtrada sin necesidad del uso transformadores voluminosos; el
precio que se paga por esto es mayor complejidad del circuito y mayor ruido de rizado.
Los reguladores conmutados se utilizan mayormente en aplicaciones digitales en donde es
ms importante una alta eficiencia y un peso bajo que un poco de ruido de rizado a la
salida.

19
2.4.7.2

Reguladores Lineales

Controlan la tensin de salida ajustando continuamente la cada de tensin en un


transistor de potencia conectado en serie entre la entrada no regulada y la carga. Debido
a que el transistor conduce corriente continuamente, ste opera en su zona activa o
lineal. Aunque el regulador lineal es ms sencillo de utilizar que los reguladores
conmutados tienden a ser muy ineficientes debido a la potencia consumida por le
elemento de paso. La tendencia actual en el diseo de fuentes de alimentacin de varias
salidas en utilizar primero reguladores conmutados para aprovechar sus virtudes y luego
usar reguladores en serie o lineales para obtener tensiones de salidas ms limpias y
mejor reguladas.

Fig. 2.15: Diagrama de bloques de un regulador de tensin lineal

2.4.7.3

Regulador de Tensin en Serie

En la figura 2.16 se muestran 2 esquemas sencillos de reguladores de tensin en


serie, utilizando un transistor bipolar o un amplificador operacional como elemento de
control y un diodo zener como tensin de referencia y control del transistor u operacional.
El funcionamiento del circuito se basa en que si Vo disminuye, la tensin VBE del
transistor aumenta permitiendo as mayor paso de corriente y una restauracin en el
voltaje de salida. Ahora para el caso contrario donde el voltaje a la salida Vo aumenta, el
voltaje VBE del transistor disminuye, esto ocasiona que la corriente de salida disminuya
intentando as disminuir el voltaje de salida hasta restaurarlo al valor deseado.

20

Fig. 2.16: a) Regulador de tensin en serie simple. b) Regulador de tensin en serie


basado en un AO
Ahora una versin mejorada de ste regulador de tensin lineal se implementa
utilizando un amplificador operacional, un par Darlington (Q1 y Q2) y una referencia de
tensin. Esta configuracin con par darlington brinda una ganancia de corriente alta,
generalmente de 1000 o ms. En el circuito 2.16.b el AO acta como amplificador de
error entre el voltaje de referencia VREF y el voltaje obtenido a travs de la red de
realimentacin formada por R1 y R2.

2.4.8

Comunicacin y Transmisin de Datos


En cualquier proceso o sistema, bien sea mecnico, elctrico, qumico, etc. Es

necesaria la comunicacin entre subsistemas o subprocesos y la supervisin del mismo


por parte de un operario, estos da pie a desarrollar algoritmos o esquemas de
comunicacin que faciliten dichas tareas. A continuacin se describirn muy brevemente
el bus de comunicacin I2C y el protocolo de comunicacin serial, ambos ampliamente
utilizados en este proyecto.

2.4.8.1

Bus I2C

I2C es un bus de comunicaciones serie. Su nombre viene de Inter-Integrated


Circuit (Circuitos Inter-Integrados). La versin 1.0 data del ao 1992 y la versin 2.1 del
ao 2000, su diseador es la empresa Philips. La velocidad es de 100Kbits por segundo
en el modo estndar, aunque tambin permite velocidades de 3.4 Mbit/s. Es un bus muy
usado en la industria, principalmente para comunicar microcontroladores y sus perifricos

21
en sistemas empotrados (Embedded Systems) y generalizando ms para comunicar
circuitos integrados entre si que normalmente residen en un mismo circuito impreso.

Fig. 2.17: Estructura de un BUS I2C


La principal caracterstica de I2C es que utiliza dos lneas para transmitir la
informacin: una para los datos y por otra la seal de reloj. Tambin es necesaria una
tercera lnea, pero esta slo es la referencia (masa). Como suelen comunicarse circuitos
en una misma placa que comparten una misma masa, esta tercera lnea no suele ser
necesaria. Las dos primeras lneas son drenador abierto, por lo que necesitan resistencias
de pull-up.
Las lneas se llaman:
SDA (Sistema de data): lnea por la cul viajan los datos entre dispositivos.
SCL (Sistema de reloj): lnea por la cul transita la seal de reloj del
sistema.
GND (Tierra): masa.
Los dispositivos conectados al bus I2C tienen una direccin nica para cada uno.
Tambin pueden ser maestros o esclavos. El dispositivo maestro inicia la transferencia de
datos y adems genera la seal de reloj, pero no es necesario que el maestro sea
siempre el mismo dispositivo, esta caracterstica se la pueden ir pasando los dispositivos
que tengan esa capacidad. Esta caracterstica hace que al bus I2C se le denomine bus
multimaestro.
Las transacciones en el bus I2C tienen este formato:
| Inicio | A7 A6 A5 A4 A3 A2 A1 | L/E | REC | ... DATOS ... | parada | espera |

22

El bus esta libre cuando SDA y SCL estn en estado lgico alto.

En estado bus libre, cualquier dispositivo puede ocupar el bus I2C como maestro.

El maestro comienza la comunicacin enviando un patrn llamado "condicin de


inicio". Esto alerta a los dispositivos esclavos, ponindolos a la espera de una
transaccin.

El maestro se dirige al dispositivo con el que quiere hablar, enviando un byte que
contiene los siete bits (A7-A1) que componen la direccin del dispositivo esclavo
con el que se quiere comunicar, y el octavo bit (A0) de menor peso se corresponde
con la operacin deseada (L/E), lectura=1 (recibir del esclavo) y escritura=0
(enviar al esclavo).

La direccin enviada es comparada por cada esclavo del bus con su propia
direccin, si ambas coinciden, el esclavo se considera direccionado como esclavotransmisor o esclavo-receptor dependiendo del bit L/E.

El esclavo responde enviando un bit de REC que le indica al dispositivo maestro


que el esclavo reconoce la solicitud y est en condiciones de comunicarse.
Seguidamente comienza el intercambio de informacin entre los dispositivos.

El maestro enva la direccin del registro interno del dispositivo que se desea leer
o escribir.

El esclavo responde con otro bit de REC

Ahora el maestro puede empezar a leer o escribir bytes de datos. Todos los bytes
de datos deben constar de 8 bits, el nmero mximo de bytes que pueden ser
enviados en una transmisin no est restringido, siendo el esclavo quien fija esta
cantidad de acuerdo a sus caractersticas.

Cada byte ledo/escrito por el maestro debe ser obligatoriamente reconocido por
un bit de REC por el dispositivo maestro/esclavo.

Se repiten los 2 pasos anteriores hasta finalizar la comunicacin entre maestro y


esclavo.

23

Aun cuando el maestro siempre controla el estado de la lnea del reloj, un esclavo
de baja velocidad o que deba detener la transferencia de datos mientras efecta
otra funcin, puede forzar la lnea SCL a nivel bajo. Esto hace que el maestro entre
en un estado de espera, durante el cual, no transmite informacin esperando a
que el esclavo est listo para continuar la transferencia en el punto donde haba
sido detenida.

Cuando la comunicacin finaliza, el maestro transmite una "condicin de parada"


para dejar libre el bus.

Despus de la "condicin de parada", es obligatorio para el bus estar en espera


durante unos microsegundos.

2.4.8.2

Protocolo Serial

La comunicacin serial es un protocolo muy comn (no hay que confundirlo con el
Bus Serial de Comunicacin, o USB) para comunicacin entre dispositivos y que se incluy
hasta hace poco de manera estndar en prcticamente cualquier computadora, siendo
progresivamente abandonado a favor de la interfaz USB. La mayora de las computadoras
que poseen este sistema de comunicacin incluyen dos puertos seriales RS-232. La
comunicacin serial es tambin un protocolo comn utilizado por varios dispositivos para
instrumentacin; existen varios dispositivos compatibles con GPIB (General purpose
instrumental bus) que incluyen un puerto RS-232. Adems, la comunicacin serial puede
ser utilizada para adquisicin de datos si se usa en conjunto con un dispositivo remoto de
muestreo.
El concepto de comunicacin serial es sencillo. El puerto serial enva y recibe bytes
de informacin un bit a la vez. Aun y cuando esto es ms lento que la comunicacin en
paralelo, que permite la transmisin de un byte completo por vez, este mtodo de
comunicacin es ms sencillo y puede alcanzar mayores distancias. Por ejemplo, la
especificacin IEEE 488 para la comunicacin en paralelo determina que el largo del cable
para el equipo no puede ser mayor a 20 metros, con no ms de 2 metros entre
cualesquier dos dispositivos; por el otro lado, utilizando comunicacin serial el largo del
cable puede llegar a los 1200 metros.
Tpicamente, la comunicacin serial se utiliza para transmitir datos en formato
ASCII. Para realizar la comunicacin se utilizan 3 lneas de transmisin: (1) Tierra (o
referencia), (2) Transmitir, (3) Recibir. Debido a que la transmisin es asincrnica, es

24
posible enviar datos por una lnea mientras se reciben datos por otra. Existen otras lneas
disponibles para realizar handshaking, o intercambio de pulsos de sincronizacin, pero no
son requeridas. Las caractersticas ms importantes de la comunicacin serial son la
velocidad de transmisin, los bits de datos, los bits de parada, y la paridad. Para que dos
puertos se puedan comunicar, es necesario que las caractersticas sean iguales.
Velocidad de transmisin (baud rate): Indica el nmero de bits por segundo
que se transfieren, y se mide en baudios (bauds). Por ejemplo, 300 baudios
representa 300 bits por segundo. Cuando se hace referencia a los ciclos de
reloj se est hablando de la velocidad de transmisin. Por ejemplo, si el
protocolo hace una llamada a 4800 ciclos de reloj, entonces el reloj est
corriendo a 4800 Hz, lo que significa que el puerto serial est muestreando
las lneas de transmisin a 4800 Hz. Las velocidades de transmisin ms
comunes para las lneas telefnicas son de 14400, 28800, y 33600. Es
posible tener velocidades ms altas, pero se reducira la distancia mxima
posible entre los dispositivos. Las altas velocidades se utilizan cuando los
dispositivos se encuentran uno junto al otro, como es el caso de
dispositivos GPIB.
Bits de datos: Se refiere a la cantidad de bits en la transmisin. Cuando la
computadora enva un paquete de informacin, el tamao de ese paquete
no necesariamente ser de 8 bits. Las cantidades ms comunes de bits por
paquete son 5, 7 y 8 bits. El nmero de bits que se enva depende en el
tipo de informacin que se transfiere. Por ejemplo, el ASCII estndar tiene
un rango de 0 a 127, es decir, utiliza 7 bits; para ASCII extendido es de 0 a
255, lo que utiliza 8 bits. Si el tipo de datos que se est transfiriendo es
texto simple (ASCII estndar), entonces es suficiente con utilizar 7 bits por
paquete para la comunicacin. Un paquete se refiere a una transferencia de
byte, incluyendo los bits de inicio/parada, bits de datos, y paridad. Debido a
que el nmero actual de bits depende en el protocolo que se seleccione, el
trmino paquete se usar para referirse a todos los casos.
Bits de parada: Usado para indicar el fin de la comunicacin de un solo
paquete. Los valores tpicos son 1, 1.5 o 2 bits. Debido a la manera como
se transfiere la informacin a travs de las lneas de comunicacin y que
cada dispositivo tiene su propio reloj, es posible que los dos dispositivos no
estn sincronizados. Por lo tanto, los bits de parada no slo indican el fin de

25
la transmisin sino adems dan un margen de tolerancia para esa
diferencia de los relojes. Mientras ms bits de parada se usen, mayor ser
la tolerancia a la sincrona de los relojes, sin embargo la transmisin ser
ms lenta.
Paridad: Es una forma sencilla de verificar si hay errores en la transmisin
serial. Existen cuatro tipos de paridad: par, impar, marcada y espaciada. La
opcin de no usar paridad alguna tambin est disponible. Para paridad par
e impar, el puerto serial fijar el bit de paridad (el ltimo bit despus de los
bits de datos) a un valor para asegurarse que la transmisin tenga un
nmero par o impar de bits en estado alto lgico. Por ejemplo, si la
informacin a transmitir es 011 y la paridad es par, el bit de paridad sera 0
para mantener el nmero de bits en estado alto lgico como par. Si la
paridad seleccionada fuera impar, entonces el bit de paridad sera 1, para
tener 3 bits en estado alto lgico. La paridad marcada y espaciada en
realidad no verifican el estado de los bits de datos; simplemente fija el bit
de paridad en estado lgico alto para la marcada, y en estado lgico bajo
para la espaciada. Esto permite al dispositivo receptor conocer de
antemano el estado de un bit, lo que servira para determinar si hay ruido
que est afectando de manera negativa la transmisin de los datos, o si los
relojes de los dispositivos no estn sincronizados.

26

3.

Sistema Adjudicado

3.1. Presentacin
Este proyecto tiene como objetivo principal disear, elaborar e implementar un
rectificador trifsico controlado mediante un control discreto (PID digital), pero este
rectificador

estar

embebido

en

una

fuente

DC

de

laboratorio,

la

preliminarmente diseada y construida. No es objetivo de dicho proyecto

cul

esta

describir a

fondo la metodologa de diseo, ni los argumentos por los cuales se adoptaron ciertas
topologas en la implementacin de la antes mencionada fuente DC; porque simplemente
es el sistema entregado.

3.2. Esquema de la Fuente DC


A continuacin se describir a detalle todo el sistema preliminar adjudicado por el
profesor Julio Walter. Inicialmente se expondr un esquema bsico de la fuente, el cual se
muestra en la figura 3.1.

Fig. 3.1: Esquema bsico de la fuente con control independiente entre el rectificador y el
regulador.

27
La fuente DC esta fundamentada en el esquema de una fuente regulada
ampliamente conocido, slo que el elemento regulador en ste caso es un transistor de
potencia (IGBT) regido bajo un sistema de regulacin lineal, dicha fuente consta de un
transformador trifsico de potencia, seguido de un rectificador trifsico completamente
controlado, luego un filtro LC y por ltimo el elemento regulador tambin completamente
controlado con un pequeo condensador que sirva de filtro para evitar el rizado a la
salida.

3.2.1

El Transformador de Potencia
El transformador usado es un transformador trifsico de potencia, de 20kVA, con

configuracin delta en su devanado primario y voltaje 208Vrms fase-fase; y en el


devanado

secundario

de

configuracin

estrella

voltaje

132Vrms

fase-neutro

aproximadamente. El voltaje en el secundario del transformador es aproximado debido a


que

el

fabricante

del

mismo,

construy

dos

transformadores

de

configuracin

delta/estrella de 10kVA cada uno y luego los conecto en paralelo como se muestra en la
figura 3.2, quizs por razones de infraestructura. Gracias a esto existe un desbalance
entre los devanados del transformador que genera variaciones en los voltajes fase-neutro
de cada fase en particular

Fig. 3.2: Configuracin del transformador de potencia

28
En la figura 3.3 se puede observar el detalle del transformador de potencia
debidamente instalado en el chasis, vale la pena destacar que el chasis tambin es parte
del sistema adjudicado; tambin puede verse lo robusto del transformador trifsico con
un peso aproximado de 100kgr, tpico en fuentes de poder con regulacin lineal donde los
transformadores son grandes y pesados.

Fig. 3.3: Detalle del transformador y parte del chasis

3.2.2

Modulo Rectificador y Filtro LC


Para la etapa de rectificacin fue entregado un juego de 6 tiristores encapsulados

en pares, tpicamente utilizados en rectificacin trifsica, estos tiristores estn ya


instalados sobre un disipador especficamente diseado para ellos y su respectivo
extractor o ventilador, todos estos dispositivos provenientes de la casa SEMIKRON. El
cdigo de los tiristores es SKKT91/04 capaz de circular 150A a travs de ellos en
operacin continua y excelentes caractersticas en conmutacin. En la figura 3.4 se
observa el encapsulado con 2 tiristores y la simbologa respectiva, adems se puede
observar lo fcil de las conexiones y lo cmodo de su montaje.
Toda esta etapa de rectificacin y filtrado conforma un esquema como el mostrado
en la figura 3.5 donde se puede observar los 6 tiristores dispuestos en pares, en forma de

29
3 ramas las cuales se encargan de rectificar completamente la red trifsica con el control
apropiado de los tiristores; dicho sistema de control se describir en captulos posteriores.
El filtro LC (inductor y condensador) esta compuesto por una bobina de 1.4mH y 150Amp
fabricada por la casa Transmagneca, la figura 3.6 muestra la bobina de aproximadamente
50kgr. El condensador de 9600uf esta compuesto por 4 condensadores de 2400uf y 450v
de la casa Mallory conectados en paralelo.

Fig. 3.4: Empaque del SKKT91/04 y su respectivo smbolo

Fig. 3.5: Circuito rectificador y filtro LC


Para disponer de un montaje fcil y sencillo del filtro y el rectificador, fue tambin
entregado como parte del chasis un modulo metlico donde reposarn los condensadores
y el disipador con el puente rectificador trifsico, adems en este mdulo tambin irn
instalados el condensador de salida y el elemento regulador. El detalle de ste modulo
puede verse en la figura 3.7.

30

Fig. 3.6: Bobina del filtro LC 1,4mH @ 150Amp

Fig. 3.7 Detalle del mdulo metlico, los tiristores y el banco de condensadores

3.2.3

Tarjetas de Control, Visualizadora y Fuente Conmutada


Con el fin de controlar todo el funcionamiento y monitorear el desempeo de la

fuente, la misma viene con un par de circuitos impresos que pueden definirse como la
tarjeta de control y la tarjeta visualizadora, estos dos circuitos impresos se comunican
entre si mediante un bus serial, utilizando un protocolo similar al I2C ambos circuitos

31
impresos estn alimentados por una fuente DC conmutada altamente comercial de la casa
AUTEC de salida +5 y +12. En la figura 3.8 se puede ver el detalle de las tarjetas.

Fig. 3.8: Tarjetas de Control, Visualizadora y Fuente Conmutada, entregadas.

3.2.3.1

Tarjeta de Control

La tarjeta de control entregada es una tarjeta bastante compleja la cul esta


constituida bsicamente por un FPGA (Field Programmable Gate Array) que es un
dispositivo

semiconductor

que

contiene

bloques

de

lgica

cuya

interconexin

funcionalidad se puede programar, un PIC (Peripheral Interface Contoller) que es un


microcontrolador y varios perifricos. El PIC y FPGA trabajan en una configuracin
maestro-esclavo respectivamente, gestionando el funcionamiento de toda la tarjeta y sus
perifricos, este funcionamiento se describir con ms detalle en captulos posteriores.
Los perifricos que posee la tarjeta se detallan a continuacin:
3 entradas anlogas tipo diferencial con rango (0-120) Vrms.
6 entradas anlogas con rango (0-200) Vdc.
3 conversores anlogo/digital de 12 bits.
Un medidor de corriente de (0-150) Adc, apoyado en el efecto Hall.

32
Comunicacin RS-232.
Bus serial, similar a I2C.
6 salidas anlogas flotantes totalmente independientes una de otra de
valores variables, (0-10) volts @ (0-100) kHz.
1 salida anloga flotante (0-12) Vdc.
2 conversores digital/anlogo de 12 bits.

3.2.3.2

La Tarjeta Visualizadora

La tarjeta visualizadora forma parte de un conjunto de dispositivos necesarios para


manipular la fuente y visualizar en todo momento su desempeo, los dispositivos que
componen este sistema son: El circuito impreso, una pantalla fluorescente de vaco (VFD
vacuum fluorescente display), un teclado y un encoder ptico.
El circuito impreso: al igual que la tarjeta de control, este circuito impreso
cuenta con un FPGA y un PIC trabajando en configuracin maestro-esclavo
respectivamente, tambin cuenta con una conexin serial similar al I2C con
la que es posible la comunicacin con al tarjeta de control.
La pantalla fluorescente: es una pantalla ya descontinuada en el mercado,
de 1x32 caracteres y en l se muestra el estado de la fuente mediante
parmetros varios.
El teclado: es un teclado alfa-numrico con algunas modificaciones
especiales para operar a este equipo en particular.
El encoder ptico: como su nombre lo dice es un codificador en cuadratura
ptico totalmente comercial de salida serial, el cul hace las veces de dial
para casi todas las funciones de la fuente; las cuales se describirn en
captulos posteriores.

1
2
3
4
5
6

1
2
3
4
5
6

JP2

13
8
14
7

JP1

VCC
Seg10
Seg11
Seg12
Seg13
Seg14

Seg5
Seg6
Seg7
TMS
Seg8
Seg9

TDI
Seg1
Seg2
Seg3
Seg4

Seg0

VCC

Seg_Out0
VccIO
TDI
Seg_Out1
Seg_Out2
Seg_Out3
Seg_Out4
GND
Seg_Out5
Seg_Out6
Seg_Out7
TMS
Seg_Out8
Seg_Out9
VccIO
Seg_Out10
Seg_Out11
Seg_Out12
Seg_Out13
Seg_Out14
GND

10

10

R13

R7
1.8k

C+
C1C2+
C2V+
V-

TTLout1
TTLout2
TTLin1
TTLin2

R12

VCC

MAX232

232in1
232in2
232out1
232out2

U9

12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32

U5

VCC

Y1
40MHz
OU T

Phi2

VCC

IOControlLogic

R8
1.8k

1
3
4
5
2
6

12
9
11
10

1u

C18

2
4
6
8

1u

C17

1
3
5
7

J2
Inv Fase

1u
1u

C15
C16

D iginf
Dio5
Dio4
Dio3
Dio2
Dio1
Dio0

Intio

Dio7
Dio6
Selio1
Selio0
WRio
RDio

7
6
5
4
3
2

28
27
26
25
24
23
22
21

18
17
16
15
14
13
12
11

74
73
72
71
70
69
68
67
66
65
64
63
62
61
60
59
58
57
56
55
54

R3
2.2k

TCK

VCC

TDO

RA5/AN4/SS
RA4/TOCKI
RA3/AN3/VRef +
RA2/AN2/Vref RA1/AN1
RA0/AN0

MCLR/Vpp

VCC

VCC

C22
.1u

R9
2.2k

Clkupio

10

22k

C1 1n

R6

K1
2x10 KY B

Intro

RB7/PGD
RB6/PGC
RB5
RB4
RB3
OSC2/CLKOUT
RB2
RB1
RB0/INT OSC1/CLKIN

RC7/RX/DT
RC6/TX/CK
RC5/SDO
RC4/SDI/SDA
RC3/SCK/SCL
RC2/CCP1
RC1/T1OSI/CCP2
RC0/T1OSO/T1CKI

U10 PIC16F872

Dig_Out0
Dig_Out1
GND
TDO
Dig_Out2
Dig_Out3
Dig_Out4
Dig_Out5
VccIO
Dig_Out6
Dig_Out7
Dig_Out8
TCK
Dig_Out9
Dig_Out10
GND
Dig_Out11
Dig_Out12
Dig_Out13
Dig_Out14
Dig_Out15

R2
2.2k

R1
R2

F5

clr F6

F1 F4

F2 F3

C1
C2
C3
C4
C5
C6
C7
C8
C9
C 10
VCC

2
4
6
8
10

J1
JTAG

3
4
5
6
7
8
9
10
11
12

1
3
5
7
9

R4
33k
3
TDI

R1
22k

6118

VCC

IN1 OUT1
IN2 OUT2
IN3 OUT3
IN4 OUT4
IN5 OUT5
IN6 OUT6
IN7 OUT7
IN8 OUT8
GND Vbb

6118
U11

IN1 OUT1
IN2 OUT2
IN3 OUT3
IN4 OUT4
IN5 OUT5
IN6 OUT6
IN7 OUT7
IN8 OUT8
GND Vbb

6118
U8

IN1 OUT1
IN2 OUT2
IN3 OUT3
IN4 OUT4
IN5 OUT5
IN6 OUT6
IN7 OUT7
IN8 OUT8
GND Vbb

6118
U7

U1

18
17
16
15
14
13
12
11
10

18
17
16
15
14
13
12
11
10

18
17
16
15
14
13
12
11
10

18
17
16
15
14
13
12
11
10

100k

R5

2N3906
Q1

IN1 OUT1
IN2 OUT2
IN3 OUT3
IN4 OUT4
IN5 OUT5
IN6 OUT6
IN7 OUT7
IN8 OUT8
GND Vbb

U6

Q2
2N3904

TCK
TDO
TMS

1
2
3
4
5
6
7
8
9

1
2
3
4
5
6
7
8
9

1
2
3
4
5
6
7
8
9

1
2
3
4
5
6
7
8
9

1
3

C21
1500u

6118

h+

+48

33
41
40
35
34
36
39
38
37

C20
.1u

C23
0.33u

1k

R11
1

4
U12
UC2577-adj

GND
6

FB

Sw

GND

Comp

Vin

T1

VFD32

VCC

U4

Seg8 1
Seg9 2
Seg10 3
Seg11 4
Seg12 5
Seg13 6
Seg14 7
Diginf 8
9
6118

IN1 OUT1
IN2 OUT2
IN3 OUT3
IN4 OUT4
IN5 OUT5
IN6 OUT6
IN7 OUT7
IN8 OUT8
GND Vbb

U2

D2

D1

R14
2.7k

R10 100k
C24
100u

R16

R15

Date:

Size
B

Title

+48

C19 h100u
h+

+48

18
17
16
15
14
13
12
11
10

Wednesday , April 12, 2006

Document Number
LPS-15KW-IO
Sheet

Sistema de Entrada Salida Fuente de Poder 15KW

1k

1k

C2
10u

18
17
16
15
14
13
12
11
10

C3
10u

IN1 OUT1
IN2 OUT2
IN3 OUT3
IN4 OUT4
IN5 OUT5
IN6 OUT6
IN7 OUT7
IN8 OUT8
GND Vbb

C4
10u

1
2
3
4
5
6
7
8
9

C5
10u

Seg0
Seg1
Seg2
Seg3
Seg4
Seg5
Seg6
Seg7

C6
10u

Phi1

D io0
D io1
D io2
D io3
D io4

C7
.1u

Q Encoder U3

D io5
D io7
D io6

C8
.1u

8
Selio1
Selio0

C9
.1u

11
10
9
8
7
6
5
4
3
2
1
84
83
82
81
80
79
78
77
76
75
W R io
R D io
Intio

C 10
.1u

res
res
res
res
GN D
res
Phi2
res
Vc c IN T
Phi1
gnd
gnd
C loc k
GN D
ena
Ky bIN 0
Ky bIN 1
Vc c I O
res
D ig_Inf
D ig_Sup

D0
D1
D2
D3
D4
Vc c IO
D5
D7
D6
GN D
Vc c IN T
Sel1
Sel0
res
GN D
WR
RD
Int
res
C lk U p
Vc c IO
C lk upio

33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
VC C

C 11
.1u

1
2
8
19

C 12
.1u

H1
a
b
c
d
e
f
g1
g2
3
1

C 13
.1u

G1
G2
G3
G4
G5
G6
G7
G8
G9
G 10
G 11
G 12
G 13
G 14
G 15
G 16
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
4

Vs s
Vs s
VD D

20

Fig. 3.10: Diagrama Circuital de la Tarjeta Visualizadora.


2

C 14
.1u

44
42
43
46
47
45
48
49
h
i
j
k
l
m
dp
H2
G 17
G 18
G 19
G 20
G 21
G 22
G 23
G 24
G 25
G 26
G 27
G 28
G 29
G 30
G 31
G 32
1

of

17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32

Seg[0..14]

Rev
1.0

h-

33

34
En la figura 3.10 se muestra el diagrama circuital de la tarjeta visualizadora, de
igual manera se puede ver el PIC, el FPGA, el teclado, la pantalla y el encoder ptico. Esta
parte del sistema de visualizacin no cuenta con ninguna estructura donde se puedan
instalar estos dispositivos. El diseo y fabricacin de esta estructura es tema de otro
captulo donde se describir a detalle todo el proceso de la misma, junto con todas las
otras piezas necesarias para la culminacin del chasis.

3.2.4

El Transistor de Potencia IGBT


Como fue expuesto anteriormente el elemento regulador de esta fuente DC, es un

transistor de potencia, especficamente un IGBT de la casa SEMIKRON, SKM400GA124D


de 1200 voltios y capaz de circular a travs de l 300Amp @ 25C. ste transistor
proveer la regulacin final de la fuente ayudado por un condensador de 450 volt y
2400uf, igual a los de la parte de rectificacin y filtrado incluso del mismo fabricante. En
la figura 3.11 se puede observa el dispositivo con su respectiva simbologa.

Fig. 3.11: IGBT SKM400GA124D y su smbolo


Este transistor vino adaptado para poder fijarlo sobre el disipador donde estn
fijos tambin los tiristores, el transistor esta montado sobre una placa de cobre que
ayuda a la disipacin de calor del mismo ya que el coeficiente de conductividad trmica
del cobre es mucho mayor que el del aluminio, que es material de que esta hecho el
disipador. En la figura 3.12 se observa el detalle de los tiristores que ajustan de manera
exacta en el disipador, mientras que entre el transistor y el disipador est la placa de
cobre diseada especialmente para poder fijar el transistor sobre el disipador y por ltimo
el ventilador que se encargar de forzar aire a travs del disipador.

35

Fig. 3.12: Detalle del disipador y sobre ste el mdulo rectificador, el transistor de
potencia y el ventilador.

36

4.

Culminacin del Chasis y Cableado

4.1. Presentacin
En sta parte del trabajo se presenta el diseo e implementacin de las partes
faltantes del chasis, as como la interconexin y cableado de todas las partes que
conforman la fuente. Para el diseo de las partes restantes del chasis se utiliz un
software de dibujo en 3D (Autodesk Inventor) que permiti visualizar mejor las piezas a
disear y as armonizar la fuente lo ms posible.

4.2. Piezas y Partes Faltantes


Como se observ en el captulo anterior el chasis entregado esta bastante
completo slo faltan algunos detalles para su operatividad plena, de igual manera las
partes de rectificacin, filtrado, visualizacin y control tambin carecen de algunas partes
necesarias para su operatividad.

4.2.1

Rectificacin y Filtrado
Para la operatividad de la etapa de rectificacin y filtrado fue necesario perforar y

cortar un par de barras de cobre, las cules unen todo el banco de condensadores para
crear la conexin en paralelo de los 4 condensadores de 2400uf cada uno, y otro par de
barras de cobre ms que sirvi para interconectar los 3 pares de tiristores que se
encargan de la rectificacin. En las figuras 4.1, 4.2 y 4.3 se nota el detalle de las barras y
su correspondiente instalacin.

Fig. 4.1: Barras perforas de cobre utilizadas para la interconexin del banco de
condensadores y los 3 pares de tiristores

37

Fig. 4.2: Mdulo de tiristores puenteados con las barras de cobre

Fig. 4.3: Banco de condensadores conectados en paralelo con el par de barras de cobre

4.2.2

Visualizacin
El chasis no contaba con un panel frontal en donde se pudiera fijar el circuito

impreso correspondiente a la parte de visualizacin, el teclado, la pantalla de vaco y el


encoder ptico. Para solucionar este problema se diseo en una lmina de acero negro de
1.1 mm de espesor un panel que se ajust al chasis y donde se pudo instalar todo lo

38
antes mencionado. La figura 4.4 muestra el diseo del panel frontal y un soporte
necesario para fijar el teclado; mientras que en la figura 4.5 se puede observar ya el
panel fabricado y todos los dispositivos perfectamente instalados

Fig. 4.4: Diseo del panel frontal y un soporte necesario para fijar el teclado

Fig. 4.5: Panel listo y ensamblado.

39
4.2.3

Control
En la etapa de control de la fuente, como se mencion anteriormente, esta

implementado un medidor de corriente directa, que esta basado en el principio del efecto
Hall; tal como fue explicado en el apartado 2.4.3 existe un campo elctrico y un campo
magntico, cuando se somete una barra de material conductor o semiconductor por la
cul circula corriente a un campo magntico. El sensor utilizado para medir la corriente es
un sensor comercial de la casa Honeywell (CSLA2CF) el cul es mostrado en la figura 4.6.

Fig. 4.6: Sensor de corriente CSLA2CF.


Fue necesario el diseo y elaboracin de varias piezas en cobre para hacer posible
la medicin de corriente, esto debido a que era necesario hacer pasar una barra metlica
por la cul pasar la corriente de salida, a travs del sensor. Y aunque la disposicin de
dicho sensor en el circuito impreso es estratgica era preciso elaborar un complemento.
Adems fue necesario el diseo y elaboracin de un par de piezas metlicas ms, para
conectar trmicamente una resistencia y un transistor al disipador donde reposan los
tiristores y el transistor de potencia, estos dos componentes estn inmersos en el proceso
de medicin y su disipacin de potencia es importante. En la figura 4.7 se muestra el
diseo de las piezas obligatorias para el correcto funcionamiento del medidor de corriente

Fig. 4.7: Diseo en 3D de las piezas necesarias para la medicin de corriente.

40

Fig. 4.8: Detalle de el sensor de corriente, la barra de cobre con sus respectivas bases y
las conexiones trmicas al disipador.

4.2.4

Chasis General
Con el fin lograr un producto final de calidad, fue necesario disear y elaborar

varias piezas indispensables para la armona y operatividad de la fuente, como se observo


en el captulo 3 el chasis adjudicado esta descubierto, adems no cuenta con ningn
recubrimiento antioxidante que evite el desgaste prematuro del hierro.

Fig. 4.9: Diseo de la carcasa completa de la fuente y bornera

41
Para solucionar esto se decidi fabricar una tapa frontal, una tapa trasera y una
tapa que cubra la parte superior y los laterales, tal como se estila en las computadoras de
oficina, de esta manera la fuente queda completamente aislada de agentes externos e
interferencia electromagntica. Tambin se limpi toda la superficie del chasis y las
lminas con un material abrasivo, para luego ser recubierta toda con varias capas de
pinturas anticorrosivas y decorativas y as lograr un acabado de buena calidad y
durabilidad.
Como bien se sabe la fuente a implementar tiene una capacidad mxima de
suministro de corriente de 100 amperios, esto puede considerarse un nivel de corriente
alto donde las conexiones elctricas deben estar lo ms unido posible para disminuir al
mximo la agitacin trmica, el ruido y el sobrecalentamiento de las uniones. Para mitigar
problema del sobrecalentamiento y la agitacin trmica se ideo que la salida de la fuente
sea a travs de borneras echas en latn, cuyas bananas sean de forma ligeramente
cnica para asegurar mayor cantidad de superficie en contacto y toda la corriente no
fluya por un solo sitio. En la figura 4.9 puede observarse el diseo de toda la carcasa de
la fuente y el del conector de salida.

Fig. 4.10: a) Detalle de las borneras ya fabricadas b) Carcasa completa del chasis

4.2.5

Cableado Preliminar
Una vez terminadas todas las piezas y partes faltantes para el correcto

funcionamiento de la fuente, se procedi a la interconexin de todas las partes y


subsistemas; recordemos que el objetivo principal de la tesis propuesta es: Disear,
elaborar e implementar un rectificador trifsico controlado mediante un control discreto

42
(PID digital), pero ste se encuentra embebido en una fuente DC la cul debe ser
construida y examinada completamente para poder validar el funcionamiento del
rectificador trifsico.
Se comenz por instalarle a la fuente un sistema de encendido/apagado de
seguridad ampliamente utilizado en la industria, consta de un par de pulsadores, uno
normalmente cerrado y otro normalmente abierto y un contactor el cul trabaja de
manera enclavada; en la figura 4.11 se puede observar el esquema utilizado en el
encendido y apagado de la fuente, el pulsador SW2 sirve para arrancar el sistema, en el
momento que se pulsa SW2 (normalmente abierto) se energiza la bobina del contactor
cerrando todos sus contactos y alimentando el devanada primario del transformador de
potencia; en este mismo instante ocurre un puente entre la fase T a la salida del
contactor y el extremo A de la bobina. Obsrvese tambin que, en medio de este
puente se encuentra otro pulsador SW1 (normalmente cerrado) esto mantiene a la bobina
del contactor energizada an cuando el pulsador SW2 sea liberado, en el momento que se
oprima SW1 la bobina del contactor queda sin energa, los contactos se abren y la energa
a travs del transformador se extingue.
1
COM1
NO1
R
COM2
NO2

2
3
Dev anado primario del transf ormador de potencia

S
COM3

NO3
T

A
SW2 NO
B

Contactor

SW1 NC

Fig. 4.11: Esquema del encendido/apagado de seguridad de la fuente


Luego se colocaron las barras de cobre respectivas al banco de condensadores y al
banco de tiristores, Se fijaron los circuitos impresos correspondientes a control,
visualizacin y fuente conmutada. Tambin se coloc el panel frontal y se realizaron las
interconexiones pertinentes como por ejemplo: bus de comunicacin entre las tarjetas de
control y visualizadora, circuito de disparo de los tiristores, bus de alimentacin DC de los
circuitos impresos entre otros. Debido a los niveles de potencia manejados por esta

43
fuente al cablear las partes que soportan altas corrientes, se utiliz un cable multifilar
flexible tipo FR-1 el cul es ideal para ste caso. En esta etapa de cableado preliminar no
se instal en elemento regulador (transistor de potencia IGBT) y tampoco la bobina la
bobina del filtro LC del rectificador ocupaba su sitio original, debido a que las primeras
pruebas experimentales a efectuar sern las de rectificacin, no regulacin y la bobina es
muy grande e interfera con la manipulacin de la tarjeta de control respectivamente.

a)

b)

Fig. 4.12: a) Chasis ensamblado y pintado ntese el panel frontal. b) Contactor de


enclavamiento para el encendido y apagado de la fuente.
En la figura 4.12 se puede observar el detalle del chasis parcialmente ensamblado
y perfectamente pintado, de igual manera se observa la conexin del sistema de
encendido y apagado de seguridad al devanado primario del transformador de potencia,
con un pequeo cajetn donde estn instalados los pulsadores SW1 y SW2 esto es slo
para efectos prcticos porque en los diseos de la lmina frontal figura 4.9 se puede
observa los orificios dispuestos para tal fin. Las figuras 4.13 y 4.14 muestran la fuente
completamente cableada adems hace referencia al panel frontal con sus dispositivos
instalados.

45

Fig. 4.13: a) Fuente completamente cableada. b) Parte trasera del panel frontal.

Fig. 4.14: Detalle de la interconexin de la tarjeta de control.

46

5.

Resultados Experimentales y Consideraciones tericas

5.1. Presentacin
Los resultados experimentales se exponen en tres grandes etapas. La primera
consiste en la rectificacin trifsica de la red elctrica convencional, la segunda es la
implementacin de un PID discreto y la tercera se fundamenta en la regulacin lineal de
la fuente.

5.2. Rectificacin Trifsica


Como se estudio en el apartado 2.4.5 y 2.4.5.1 la rectificacin trifsica consiste
bsicamente en, 3 pares de tiristores dispuestos de manera especfica disparados en
instantes de tiempo perfectamente sincronizados y variando el ngulo de disparo se
puede obtener una seal DC con un rizado de frecuencia 360Hz a la salida del filtro
rectificador.

5.2.1

Acondicionamiento de las Seales de Disparo


El FPGA contenido en la tarjeta de control, est programado con una rutina basada

en una mquina de estados sencilla la cul se encarga de generar los disparos, stos
disparos son bsicamente un tren de pulsos de frecuencia media con la amplitud
necesaria para generar el disparo en el tiristor, dicho tren de pulsos tiene una duracin de
aproximadamente 5,5ms que equivale a 120 para =0, la cul se va extinguiendo a
medida que el ngulo de disparo va aumentando. En la misma tarjeta de control se
encuentran un par manejadores y 6 transformadores de pequea seal con relacin 1:1,
cuya finalidad es acondicionar y aislar la seal de disparo de cada unos de los tiristores,
gracias a los transformadores de aislamiento, es que la seal de disparo es un tren de
pulsos, porque como es bien sabido, los transformadores tienen un psimo desempeo
ante excitaciones de tipo continuo.
En un principio, la amplitud del tren de pulso del disparo no fue suficiente para
excitar al tiristor adems de presentar oscilaciones al principio y final del mismo, stas
oscilaciones se deben al circuito LC que se forma en el devanado primario del
transformador de aislamiento, que es por naturaleza oscilatorio. Para solventar ste
problema se coloc una resistencia de 100 en paralelo con el devanado secundario del
transformador. En la figura 5.1 se puede observar la forma de los disparos luego de
colocar la resistencia de 100, es obvio que la oscilacin persiste pero la amplitud ya esta

47
cerca a 4 voltios lo cul es suficiente, pero la oscilacin debe corregirse puesto que podra
generar falsos disparos en los tiristores.

Fig. 5.1: Disparo de los tiristores 1 y 2 luego de colocar la resistencia de 100


Para mitigar el problema de las oscilaciones se procedi a cambiar el condensador
que precede al devanado primario de los transformadores de aislamiento, despus de
varias pruebas se hall un valor adecuado del condensador 470nf, la diferencia entre los
disparos con el condensador de 470nf y sin dicho condensador puede verse en la figura
5.2, donde el canal 1 representa la seal de disparo con el capacitor de 470nf y el canal 2
sin el mismo.

Fig. 5.2: Detalle del acondicionamiento de la seal de disparo.

48
5.2.2

Sincronizacin de los Disparos


Las seales de disparo de los 6 tiristores son generadas por la tarjeta de control,

como se vio en el apartado 3.2.3.1 la tarjeta de control cuenta con un PIC16877-A y un


FPGA

EPM7128SLC84-15

trabajando

en

una

configuracin

maestro

esclavo

respectivamente. Asumiendo las fases de la lnea trifsica como R, S y T, donde tomamos


a R como la fase de referencia, S como la fase +120 y T como -120, podran definirse
las seales RT=R-T, TR, SR, RS, TS y ST que no son ms que la suma algebraica de las
seales R, S y T en todas sus combinaciones posibles. El circuito impreso de control
cuenta con un arreglo de amplificadores operacionales y comparadores, capaz de generar
las seales RS, SR, TS, ST, RT y TR a partir de la red trifsica comercial, por medio de
deteccin de fase y cruces por ceros de las seales.
Se puede observar en la figura 2.10 que, tericamente la seal RB cruza por cero
justamente en la interseccin de las seales R y B, de igual manera ocurre con todas las
otras seales correspondientes a las restantes combinaciones. En la seccin 2.4.5.1 se
describe una forma de sincronizar las seales de disparo, pero en la parte experimental
se utiliz una forma distinta e igual de efectiva, las figuras 2.13 y 2.14 muestran las 6
seales de disparo de los tiristores para distintos ngulos de disparo. Ntese el orden
correlativo de los disparos y la separacin exacta de 60 entre cada uno. Entonces se
puede sincronizar los disparos de la siguiente manera: S1, S2 y S3 con los cruces por cero
de las seales RT, SR y TS respectivamente y S4, S5 y S6 con los cruces por cero de TR, RS
y ST de igual manera.
En la grfica 5.3 pueden verse todos los pulsos de disparo con respecto a la seal
de referencia RT, las manchas negras corresponde a los

trenes

de pulso antes

mencionados, debido a la escala utilizada en el osciloscopio los pulsos se muestran tan


pegados, que parecieran solaparse. Es fcil darse cuenta que dichos disparos estn
completamente al revs si se comparan con la figura 2.13, tambin puede apreciarse el
ancho de todos los pulsos de exactamente 120 o 5,5ms lo que implica =0. Para
solventar el problema de que todos los disparos estn completamente invertidos, fue
necesario revisar la autenticidad de las seales de referencia RT, TR, SR, RS, ST y TS
puesto que, se vio anteriormente que los pulsos de disparo son directamente generados a
partir de las ya antes mencionadas seales de referencia. Utilizando un software para
simulacin de circuitos electrnicos se logr obtener las formas de ondas reales de las
seales RT, SR y TS dando por entendido que las seales TR, RS y ST corresponden a sus
respectivas seales negadas o desfasadas 180. Una vez obtenidas las formas de onda de

49
las seales antes mencionadas se compararon con las obtenidas en la tarjeta de control,
arrojando sta comparacin resultados desconcertantes, ya que las seales eran
idnticas.

Fig. 5.3: a) Pulso de Disparo T1 vs RT. b) Pulso de Disparo T2 vs RT. c) Pulso de Disparo
T3 vs RT. d) Pulso de Disparo T4 vs RT. e) Pulso de Disparo T5 vs RT. f) Pulso de Disparo
T6 vs RT

50
En la figura 5.4 se puede ver el circuito con el cul se simul la rectificacin
trifsica, en sta imagen puede verse el detalle de las puntas de prueba dispuesta sobre
las fases R, S y T necesarias para obtener la medicin diferencial de las seales RT, TS y
SR. La figura 5.5 muestra la comparacin de las formas de onda del circuito simulado y
las obtenidas en la tarjeta de control, ntese la diferencia de +120 entre la fase de las
seales RT y TS y -120 entre RT y SR para ambas grficas. Debido a que el osciloscopio
utilizado para las mediciones slo contaba con 2 canales, se midieron por separado las
seales manteniendo siempre el enganche o la referencia el canal 1, correspondiente a la
forma de onda de la seal RT. Gracias a lo antes mencionado se muestran dos cuadros
por separado en el apartado b) de la figura, la diferencia de amplitudes entre los
resultados de la simulacin y las seales obtenidas de la tarjeta de control, es relativa y
no tiene ninguna relevancia en ste caso, lo importante aqu es destacar la validez de las
seales RT, TS y SR de la tarjeta de control.
R4

S
Vtn

S
VR

VV+

VS

V+
V-

VT

Vg4
R7
47
X4
MCR225-4FP

R2
C2
.22u
Vrn
C3
.22u
R12
1

Vg5

Vg6
R8
47
X6
MCR225-4FP

R9
C5
.22u

R14
1

1.5mH

47

X5
MCR225-4FP

Vsn
C6
.22u

0.05

R15
1

47

X3
MCR225-4FP

VV+

R13
1

47

X1
MCR225-4FP

0
Vsn

Vg3

VSS
R3

R11

C7
.22u
Vtn

R1
C1
.22u

Vg1

VCC
12Vdc

Vrn

L1

VDD

VCC

Vg2
R10 47
X2
MCR225-4FP

C4

.9

9600u

C8
.22u
R16
1

Fig. 5.4: Circuito del rectificador trifsico simulado.


Aunque los resultados obtenidos anteriormente fueron ptimos el problema de la
inversin en todos los pulsos de disparo persista, esto motiv a realizar un examen ms
detallado de la tarjeta de control y del como se generaban los pulsos de disparo en ella.
Anteriormente se mencion al FPGA como dispositivo encargado de generar los pulsos de
disparo, y ya es bien sabido que ste es un dispositivo lgico programable el cul no
posee entradas ni salidas anlogas, es decir, que las seales mostradas en la figura 5.5
apartado b) no sirven como entradas al FPGA. Gracias a esto, dichas seales sufren en la
tarjeta de control un proceso de digitalizacin, ejecutado por un arreglo de comparadores,
dicho proceso tiene como fin primordial acondicionar la seal para poder ser utilizada por
el FPGA.
En la figura 5.6 se puede ver la seal de referencia RT anloga y su
correspondiente digitalizacin, es claro darse cuenta de la inversin total de signo o si se

51
quiere tambin puede verse como un defasaje de 180 de la seal digitalizada con
respecto a la seal anloga. Al igual que RT todas las otras seales de referencia
restantes tambin presentan un desfajase de 180 entre la analgica y la digital. Por
supuesto esta inversin en las seales de referencia genera los pulsos de disparo
totalmente invertidos como se distingui en la figura 5.3. Otro detalle importante que
puede apreciarse es que, los cruces por ceros de ambas seales no corresponden, esto es
debido a la deteccin de fase con que cuenta la tarjeta de control.

Fig. 5.5: a) Simulacin de las seales de referencia RT, TS y SR. b) Seales RT, TS y SR
obtenidas en la tarjeta de control.
Solventar el problema de inversin de todas las seales de referencia digitales fue
muy sencillo, puesto que en la tarjeta de control cada una de ellas contaba con su negado

52
lgico, entonces bast solo con intercambiar las seales, por ejemplo, la seal RT fue
cambiada por su negado TR y viceversa. Fsicamente el circuito impreso de la tarjeta de
control no cambi en lo absoluto con ste intercambio, porque el FPGA tiene la virtud, de
que sus pines de entrada/salida pueden cambiarse va software a discrecin salvo algunas
excepciones, tales como, pines de alimentacin y reloj entre otros.

Fig. 5.6: Seal de referencia RT anloga y RT digital desfasada 180.


Luego del intercambio de todas las seales digitales de referencia, todos los pulsos
de disparo comenzaron a figurar el comportamiento deseado, dicho comportamiento esta
citado en la figura 2.13. En la figura 5.7 puede observarse los dos primeros pulsos de
disparo (T1 y T2), para un ngulo de disparo igual a cero grados, los mismos estn
separados por 60 y tienen la ubicacin correcta as mismo ocurre con los otros 4 pulsos
de disparos correspondientes a T3, T4, T5 y T6.

Fig. 5.7: Seales de disparo T1 y T2 con respecto a la fase R para un =0.

53
5.2.3

Duracin del Pulso de Disparo y Observacin de la Onda Rectificada


Antes de comenzar, se debe aclarar que el valor del ngulo de disparo es asignado

directamente desde la tarjeta de visualizadora, la cul se encuentra en el panel frontal de


la fuente, dicha consigan de el valor del ngulo alfa viaja a travs del bus de
comunicacin que existe entre ambas tarjeta, y llega directamente al PIC de la tarjeta de
control, en un formato que ms adelante ser comentado. Entonces, una vez que todos
los pulsos de disparo estuvieron perfectamente acondicionados y sincronizados se
procedi a las pruebas de rectificacin, con la salvedad de no tener conectado an el filtro
LC, debido a que dicho filtro posee un banco de condensadores que representaba una
capacitancia muy alta y ante la incertidumbre de los resultados preliminares, se decidi
no exponer el banco de condensadores y la bobina a posibles picos bruscos de tensin. En
apartados anteriores se vio que el pulso de disparo dispuesto por el FPGA es en realidad
un tren de pulsos de frecuencia media, el cul tiene una duracin de aproximadamente
5.5ms o 120 para un =0 y a medida que el ngulo de disparo aumenta, la duracin del
pulso de disparo disminuye hasta extinguirse por completo para un =120. Aunque
todos los pulsos de disparos estaban intachables, la rectificacin no era completa, a partir
de =60 la onda rectificada desapareca por completo, esto por supuesto representaba
un gran problema.
Como el osciloscopio utilizado para las mediciones slo contaba con 2 canales, las
mediciones de los pulsos de disparo debieron hacerse por separado, pero siempre
manteniendo una misma seal de referencia o enganche. Dichas mediciones arrojaron
resultados satisfactorios, como los vistos anteriormente en la figura 5.7. Para aceptar las
seales de los disparos medidas por separado, se recurri al uso de una tarjeta de
adquisicin de datos de la casa National Instruments, el modelo utilizado fue 779068-01
de la serie M la cul cuenta con 32 entradas anlogas, 4 salidas analgicas y 48 E/S
digitales. Tambin fue necesario el uso de tarjeta extensora igualmente de la casa
National Instruments, todo esto con el fin de muestrear y registrar todas las seales al
mismo tiempo, y as confirmar que las antes mencionadas seales ocupan el espacio y
tiempo correcto, evitando as cualquier problema que pudiese existir en las mediciones
por separado. La figura 5.8 muestra la tarjeta de adquisicin de datos y la tarjeta
extensora utilizadas.

54

Fig. 5.8: De izquierda a derecha: Tarjeta de adquisicin de datos y extensora utilizadas


Contando con la ayuda de un software igualmente de la casa National Instruments,
(LabVIEW) se procedi a crear una sencilla interfaz grfica que permitiera registrar los
datos y mostrarlos de una manera fcil, en la figura 5.9 se puede ver el cdigo grfico
implementado en (LabVIEW), para obtener todas las seales de referencia y los pulsos
disparo.

Fig. 5.9: Cdigo en LabVIEW para registrar las seales de referencia y disparo.
De igual manera la figura 5.10 muestra las seales de referencia RT, SR y TS tanto
analgicas como digitales, ntese que dichas seales estn perfectamente desfasadas y
no tienen ninguna inversin de signo. Cabe destacar lo ms importante en sta figura son
los pulsos de disparo, para ste caso en particular el ngulo de disparo es mayor que
cero, aunque eso no sea de relevancia en ste momento, lo primordial aqu es el orden
correlativo que presentan todos los disparos y su disposicin ante las seales de
referencia, tambin debe sealarse que todos los pulsos de disparos son invariantes en el
tiempo lo que varia en ellos es la duracin del mismo. Con los datos obtenidos hasta

55
ahora, se puede validar el correcto funcionamiento del sistema de generacin de disparo
para los tiristores, as como todas las seales y formas de ondas involucradas en dicho
proceso. Pero aunque se puede validar todo esto, la rectificacin en s, sigue presentado
fallas al igual que la forma de onda de la seal rectificada an se extingue para valores de
mayores a 60.

Fig. 5.10: Datos logrados con la tarjeta de adquisicin de datos 779068-01: a) Seales de
referencia analgicas, b) Seales de referencia digitales y c) Pulsos de disparos.
En la figura 5.11 se muestra la forma de onda de la seal rectificada y el pulso de
disparo del tiristor uno (T1), para un barrido completo del ngulo de disparo, es decir,
=0 hasta =120. Pueden observarse algunos detalles relevantes en sta figura, el
primero es que, efectivamente y como se mencion en apartados anteriores, el pulso de
disparo se extingue a medida que aumenta, esto ocurre de igual manera con todos los
otros pulsos de disparo restantes. El hecho de que todos los pulsos se extingan tiene
sentido ya que un =120 implica cero voltaje en el rectificador y esto a su vez significa
que no debe existir ningn pulso de disparo que active tiristor alguno. Como segundo
detalle relevante y tal como se predijo al inicio de ste apartado se tiene que, el voltaje
en el rectificador se apaga por completo a partir de >60 y no en 120 como debera ser,
aqu es donde radica el mayor problema. Se puede comentar en esta grfica la ausencia

56
del banco de condensadores en el filtro LC, por lo cul la seal rectificada presenta esos
grandes valles a medida que el ngulo de disparo se incrementa, de la misma manera se
puede observar los pequeos picos en la onda rectificada justo al comienzo del pulso de
disparo que denotan la conmutacin de los dispositivos.

Fig. 5.11: Barrido del pulso de disparo T1 vs. Voltaje rectificado.


Como se vio en el apartado 2.4.5, para la rectificacin trifsica siempre existe un
par de tiristores en conduccin uno de la rama superior y el otro forzosamente de la rama
inferior, para permitir el flujo de corriente a travs de ellos y de sta manera se
mantengan los dispositivos activos. Si se observa con ms detalle las grficas de la figura

57
5.11 puede verse que, aunque la seal de la onda rectificada ya esta completamente
extinta, alcanza a verse incluso pequeos picos en la misma, stos picos corresponden a
intentos de conduccin de los tiristores porque coinciden justamente con el inicio del
pulso de disparo. Entonces es posible que, por un pequeo instante de tiempo el flujo de
corriente en el par de tiristores que se encuentren en conduccin, se vea cortado por
alguna razn hasta el momento desconocida, apagando as los dispositivos y llevando el
voltaje rectificado a cero voltios.
El hecho de que, el flujo de corriente a travs de los tiristores no sea constante y
sabiendo que la sincrona y acondicionamiento de los pulsos de disparo es perfecta.
Indujo a que el problema poda radicar en la ausencia de pulsos de disparo en algn
instante de tiempo, slo quedaba modificar el cdigo programado en el FPGA, para que
en lugar de sofocar el ancho del pulso de disparo a medida que aumente , se desplace
todo el pulso de disparo de ancho 120, hasta el valor del ngulo de disparo deseado. De
sta manera siempre existirn los pulsos de disparo garantizando as la conduccin del
par de tiristores en todo momento, aprciese que lo importante en dichos pulsos es
donde inician y no si stos existen en un instante de tiempo incorrecto, porque si as
fuese, al excitar al tiristor con su debido pulso de disparo, ste se comporta como un
diodo y si no est correctamente polarizado el mismo no conduce.
En la figura 5.12 se puede ver el pulso de disparo del tiristor uno (T1) y la forma
de onda de la seal rectificada para un barrido completo de , ya con el cdigo del FPGA
corregido. Es fcil darse cuenta que el ancho del pulso de disparo es invariante para
cualquier ngulo de disparo, de igual manera ocurre con los 5 pulsos restantes y de cmo
la seal rectificada no se apaga para valores 60. sta grfica tambin refuerza lo
antes mencionado, no importa si el pulso de disparo existe en un instante de tiempo
incorrecto, si el dispositivo no esta correctamente polarizado el mismo no se activa.
Existe en la seal de la onda rectificada una zona donde la rectificacin intenta
desaparecer, pero gracias al tren de pulsos con ancho fijo, vuelve a recuperarse la
rectificacin. ste fenmeno no se encontr en ninguna bibliografa consultada ni aparece
en las simulaciones, no se tiene una explicacin clara de lo que esta sucediendo aqu, y
en realidad no es muy relevante puesto que los resultados son los esperados.

58

Fig. 5.12: Barrido del pulso de disparo T1 vs. Voltaje rectificado, para distintos valores
de (cdigo FPGA corregido).
Tal como se predijo al inicio de ste captulo, se puede apreciar en la figura 5.12
que la frecuencia del rizado de la onda rectificada es igual a 360Hz, para un valor del
ngulo de disparo igual a cero. Con respecto a la zona en donde la rectificacin comienza
a experimentar apagados y encendidos continuos se puede comentar que, al conectar el
filtro LC el banco de condensadores se encargar de mitigar ste efecto, debido a que la
frecuencia del tren de pulso de disparo es por el orden de las decenas de kHz y el tiempo
de carga y descarga del banco de condensadores es mucho mayor que sta frecuencia. La
figura 5.13 muestra el detalle del tren de pulsos y la conmutacin de la seal rectificada.
Luego de observar que la seal rectificada obtenida responde a plenitud a los resultados
tericos esperados, los cules pueden verse en la figura 2.8, salvo algunos pequeos
detalles que se repararn con el filtro LC, se procedi a conectar el mismo y efectuar las
pruebas finales de rectificacin las cuales estn expuestas en la figura 5.14. Dichas
pruebas arrojaron buenos resultados, observndose un voltaje mximo del rectificador de
212Vdc el cul disminuye paulatinamente hasta 0Vdc de la mano del ngulo .

59

Fig. 5.13: Detalle de la zona de conmutacin en la seal rectificada con respecto al tren
de pulso de disparo T1.

Fig. 5.14: Forma de onda de la seal rectificada una vez conectado el filtro LC, para
distintos valores del ngulo de disparo .

60

5.3. El PID Discreto


Una vez superada la etapa de rectificacin trifsica, el siguiente paso fue la
realizacin de un controlador PID discreto que manipulara el ngulo de disparo, para as
controlar el voltaje en el rectificador. Para la realizacin de dicho controlador fue
necesario caracterizar al rectificador como un sistema y desarrollar una pequea interfaz
grfica que ayudara a visualizar el desempeo del controlador.

5.3.1

Caracterizacin del Rectificador


El poder elaborar el controlador PID, necesitaba conocer anticipadamente la

relacin que existe entre el voltaje del rectificador y el ngulo . Anteriormente se explic
que el ngulo de disparo est controlado principalmente por el PIC de la tarjeta de
control, es en l donde se genera dicho ngulo, pero el FPGA es quin se encarga de la
sincronizacin y elaboracin del tren de pulsos de disparo. Gracias a que el FPGA es un
dispositivo lgico, el PIC le enva a dicho dispositivo el en forma de una palabra de
8bits, que corresponde a un nmero entero comprendido entre 0 y 255.
Muestra

Alpha

Vout

Vout Norm

Muestra

Alpha

Vout

Vout Norm

201

0,97

27

130

108,3

0,52

201

0,97

28

135

102,2

0,49

10

201

0,97

29

140

95,4

0,46

15

200

0,96

30

145

95,4

0,46

20

199

0,96

31

150

82,4

0,40

25

197,7

0,95

32

155

76,6

0,37

30

195,8

0,94

33

160

69,9

0,34

35

193,4

0,93

34

165

69,9

0,34

40

191

0,92

35

170

55

0,26

10

45

190,8

0,92

36

175

47,4

0,23

11

50

184,8

0,89

37

180

41,6

0,20

12

55

181,5

0,87

38

185

36,6

0,18

13

60

178,3

0,86

39

190

31,5

0,15

14

65

174,5

0,84

40

195

25,9

0,12

15

70

171

0,82

41

200

24

0,12

16

75

167,3

0,80

42

205

16,2

0,08

17

80

163,5

0,79

43

210

11,1

0,05

18

85

159,1

0,76

44

215

10,7

0,05

19

90

154,6

0,74

45

220

4,9

0,02

20

95

150

0,72

46

225

2,6

0,01

21

100

145,2

0,70

47

230

1,5

0,01

22

105

140,1

0,67

48

235

0,6

0,00

23

110

134,9

0,65

49

240

0,2

0,00

24

115

129,2

0,62

50

245

0,00

25

120

122,5

0,59

51

250

0,00

26

125

114,1

0,55

52

255

0,00

Tabla 5.1: Valores medidos en el rectificador, que reflejan la relacin entre el ngulo de
disparo () y el voltaje en el mismo.

61
Para caracterizar el comportamiento del voltaje en el rectificador con respecto al
ngulo de disparo, se tomaron 52 muestras tanto de como del voltaje rectificado. stas
muestras representan un barrido completo del ngulo de disparo entre 0 y 255, pero en
intervalos de 5 unidades enteras, la tabla 5.1 muestra las antes mencionadas muestras,
adems de poseer el voltaje en el rectificador normalizado. En el momento de obtener las
muestras se utiliz como carga al rectificador un arreglo de dos (2) bombillos de algeno
de 1000watts @ 208Vrms cada uno, para exigir una corriente significativa al mismo. Una
consecuencia derivada de la implementacin de dicha carga fue que, el voltaje mximo en
el rectificador disminuy de 212Vdc a 201Vdc. Luego con estas muestras se procedi a
elaborar una grfica con un software de presentaciones grficas, para observar la forma
de la curva y obtener de ella una ecuacin que describa su comportamiento. En la figura
5.15 se puede ver la grfica de voltaje en el rectificador vs el ngulo de disparo dicha
curva es la descrita por los puntos experimentales, los puntos un poco fuera de rango
corresponden a errores de medicin, de igual manera se

aprecia la forma la lnea de

tendencia trazada con la ayuda del software , como era de esperarse corresponde con la
curva terica expuesta en la figura 2.9, otra razn ms para validar el funcionamiento del
rectificador.

Vrect vs Alpha

200

y = 1E-07x - 3E-05x - 0,0022x - 0,166x + 202,52


2
R = 0,9994

180

160

140

Vout

120

100

80

60

40

20

0
0

20

40

60

80

100

120

140

160

180

200

220

240

260

Alpha

Fig. 5.15: Grfica de voltaje rectificado vs ngulo de disparo (formato 8bits).


Arriba

a la izquierda de la figura 5.15 puede observarse, las

la ecuacin que

describe a la lnea de tendencia, la cul es polinmica y de orden 4, dicha ecuacin se

62
utiliz para crear una nueva tabla, donde est la linealizacin de la respuesta vista en la
grfica 5.15, porque el controlador PID espera iguales respuestas a los mismos intervalos
de cambio en la entrada, y obviamente una respuesta de este estilo arco cosenoidal no se
comporta as, dicha tabla contendr slo un rango de los posibles valores que arrojar la
ecuacin del controlador PID discreto, dicha ecuacin se describir en apartados
posteriores. En una primera propuesta se estableci los rangos de la fuente como [0150]Vdc @ [0-100]Amp, pero al ver la capacidad que posee el rectificador se decidi
llevar la fuente a un mximo de 180Vdc manteniendo el mismo rango de corriente.
PID

ALFA

PID

ALFA

PID

ALFA

PID

ALFA

PID

ALFA

242

39

182

78

156

117

123

156

89

238

40

181

79

155

118

122

157

88

236

41

180

80

155

119

121

158

87

233

42

180

81

154

120

120

159

86

230

43

179

82

153

121

120

160

85

227

44

178

83

152

122

119

161

84

225

45

178

84

151

123

118

162

83

223

46

177

85

151

124

117

163

82

220

47

177

86

150

125

116

164

81

218

48

176

87

149

126

115

165

80

10

216

49

175

88

148

127

114

166

79

11

214

50

175

89

147

128

113

167

78

12

212

51

174

90

147

129

113

168

76

13

210

52

174

91

146

130

112

169

75

14

209

53

173

92

145

131

111

170

74

15

207

54

172

93

144

132

110

171

73

16

205

55

172

94

143

133

109

172

71

17

204

56

171

95

142

134

108

173

70

18

202

57

170

96

141

135

108

174

69

19

201

58

170

97

141

136

107

175

67

20

200

59

169

98

140

137

106

176

66

21

198

60

169

99

139

138

105

177

64

22

197

61

168

100

138

139

104

178

63

23

196

62

167

101

137

140

103

179

61

24

195

63

167

102

136

141

102

180

60

25

194

64

166

103

135

142

102

181

58

26

193

65

165

104

135

143

101

182

56

27

192

66

165

105

134

144

100

183

54

28

191

67

164

106

133

145

99

184

52

29

190

68

163

107

132

146

98

185

51

30

189

69

163

108

131

147

97

186

49

31

188

70

162

109

130

148

96

187

47

32

187

71

161

110

129

149

95

188

45

33

186

72

161

111

128

150

95

189

42

34

185

73

160

112

127

151

94

190

40

35

185

74

159

113

127

152

93

36

184

75

158

114

126

153

92

37

183

76

158

115

125

154

91

38

182

77

157

116

124

155

90

Tabla 5.2: Valores enteros calculados del controlador PID y su correspondiente .

63
Entonces se estableci una analoga directa entre el valor o nmero que
suministrar el controlador PID discreto y el voltaje en el rectificador, la tabla 5.2
muestra todos los posibles nmeros enteros entre [0-190] que representa los valores del
voltaje en el rectificador y su correspondiente valor del ngulo de disparo . Ntese que
el voltaje en el rectificador tiene un mximo de 190 voltios, esto es con el propsito de
obtener una diferencia de 10 voltios, entre el voltaje de salida (regulado) y el voltaje en
el rectificador, slo con el fin de que el controlador PID posea un margen de
esparcimiento y pueda enfrentar posibles respuestas con sobrepico.

5.3.2

Modelo Matemtico del Filtro LC y Entonacin Terica del PID


La elaboracin e implementacin de un controlador PID discreto en el PIC de la

tarjeta de control, que manipular el voltaje rectificado, exiga la elaboracin de un


modelo matemtico del sistema a controlar, para luego poder hallar el controlador a
utilizar. La figura 5.16 muestra el circuito que representa al filtro del rectificador donde
Vrect in simboliza el voltaje en el rectificador y Vrect out figura al voltaje en la salida del
filtro LC, mientras R1 y R2 representan a las resistencias internas del inductor y
condensador respectivamente, por ltimo tenemos que R3 representa la carga usada en
las pruebas anteriores de rectificacin. Cabe destacar que los bombillos de algeno
utilizados para las pruebas, constituyen una carga de bajo costo y alta potencia, adems
de figurar una carga completamente resistiva, stas caractersticas los hacen altamente
atractivos para ste caso, pero, tienen una cualidad indeseable, su resistencia se
incrementa a medida que el filamento se calienta, es decir su resistencia es variable con
respecto a la temperatura. Se decidi omitir dicha desventaja y trabajar con la resistencia
que reflejan los bombillos una vez que estn suficientemente calientes, porque ste es el
estado en el que mayor tiempo van a estar.

Fig. 5.16: Circuito del filtro LC del rectificador.

64
A partir de la figura 5.16 se procedi a la elaboracin del modelo matemtico del
filtro LC en el dominio de Laplace quedando que

R2 R3
SCR2 R3 +R3 + R2
Vrect_ out(s)
=
R2 R3
Vrect_ in(s)
LS + R1 +
SCR2 R3 + R3 + R2

(5.1)

Simplificando y arreglando trminos de 5.1 queda

R2 + R3
Vrect_ out(s)
= 2
Vrect_ in(s) S (LCR2 R3 ) + S[L(R3 + R2 ) + R1CR2 R3 ] + R1 (R3 + R2 ) + R2 + R3

(5.2)

La estructura de un controlador PID en el domino de Laplace se muestra en la


ecuacin 5.3, ahora con la ayuda del software MATLAB se cre un lazo de control
utilizando la ecuacin 5.2 como la planta o sistema a controlar y la ecuacin 5.3 como el
controlador. Esto con la finalidad de encontrar las constantes ptimas Kp, Ki y Kd del
controlador, la figura 5.17 se muestra el proceso simulado es fcil darse cuenta que el
mismo cuenta con realimentacin unitaria.

PID(s) =

SKp + Ki + Kd S 2
S

(5.3)

Fig. 5.17: Proceso simulado para encontrar las constantes del controlador PID.
En la figura 5.18 puede verse el diagrama de polos y ceros del sistema
realimentado ya con el controlador incluido, los valores de las constantes Kp, Ki y Kd se
colocaron en un principio de manera arbitraria, para que de una manera emprica pero
utilizando el software se modificaran los polos y ceros del sistema. De entrada el sistema
result ser marginalmente estable y con una indeseada respuesta al escaln, la figura

65
5.18 tambin muestra dicha respuesta al escaln donde es obvio darse cuenta que no es
la mejor que pudiese obtenerse.

Fig. 5.18: Detalle del diagrama de polos y cero del sistema, diagrama de fase, diagrama
de magnitud y respuesta al escaln para el compensador preliminar.
Luego de varias pruebas modificando las constantes del controlador PID, se
hallaron unos valores de dichas constantes bastantes aceptables, Kp=0.05, Ki=2 y
Kd=0.0004. El diagrama de bode, margen de fase, margen de magnitud y respuesta al
escaln se muestran en la figura 2.19, puede observarse la mejora en la respuesta al
escaln, aunque no es muy rpida no presenta sobrepico ni error en estado estacionario,

66
el margen de ganancia sigue siendo infinito, mientras el margen de fase cambi muy
poco. Vale la pena destacar que el sistema sigue siendo marginalmente estable.

Fig. 5.18: Detalle del diagrama de polos y cero del sistema, diagrama de fase, diagrama
de magnitud y respuesta al escaln para el compensador ya entonado.

5.3.3

Implementacin del Controlador PID Discreto


Como ya es conocido, el algoritmo que genera el ngulo de disparo se aloja en el

PIC de la tarjeta de control, en una primera instancia ste ngulo era directamente

67
controlado desde el panel frontal y a travs del bus de comunicacin que existe entre las
tarjetas de control y visualizadora viajaba el set de alfa hasta la tarjeta de control. Ahora
lo que se quiere es, colocar en el panel frontal un set de voltaje, que esta consigna sea
interpretada por el PIC en la tarjeta de control y contando con la ayuda del PID que se
implementar, el rectificador sea capaz de generar el voltaje ordenado. El cdigo que
contena el PIC al momento de la adjudicacin del sistema est expuesto en el apndice
9.1, obviamente ste cdigo necesitaba de algunas modificaciones para el desarrollo del
PID discreto, lo primero que se implement fue la comunicacin serial con la PC. Gracias
a los medidores de tensin continua con que cuenta la tarjeta de control, sta
comunicacin permita en primeras instancias enviar a la computadora el valor del voltaje
en el rectificador.
A continuacin se muestra un segmento del cdigo implementado para la
realizacin del PID discreto, salvo algunas declaraciones y definiciones aqu radica todo el
controlador.
if (PID_Flag)
//Clculo del PID
{
write_CPLD (AlfaLoad, alfa1);

//carga el ltimo valor del alfa1

error_act=(vrec_set-vrec_pid)/Vmax;
error_t+=error_act;

//calcula el error actual y lo normaliza


//acumula el error

pid=error_act*kp+error_t*ki+(error_act-error_ant)*kd;
if(pid>PIDmax)

//calcula el pid
//si el pid es >Vmax lo limita a

alpha_max
pid=PIDmax;
if(pid<0.00)

//si el pid <0 lo limita a 0

pid=0.00;
alfa1=alpha[(int)pid];
error_ant=error_act;

//se asigna a alfa el valor correspondiente segun la tabla en .h


//acumula el error actual como el error anterior para el prximo ciclo

}//fin del PID

Bsicamente, el controlador toma el valor de la consigna de voltaje impuesta


desde el panel frontal, lo compara con el valor actual del voltaje en el rectificador y
genera un error, ste error es introducido en una frmula que arrojar el valor del PID en
s (vase subrayado en el cdigo) luego ste valor de PID sufre un par de verificaciones
de rango y sirve como ndice para encontrar el valor del ngulo de disparo alpha
correspondiente. Ahora bien en el apartado 5.3.1 se vio que el valor del PID guarda una
analoga directa con el voltaje en el rectificador, y la relacin entre ngulo de disparo
con el valor del PID est descrita en la tabla 5.2. Por supuesto dicha tabla tambin forma
parte de las modificaciones del cdigo fuente.

68
Hasta el momento se cuenta con todas las herramientas necesarias para probar el
funcionamiento del PID implementado, el cdigo fuente ya modificado, la relacin entre
y el voltaje rectificado, la correspondencia entre el valor del PID y el ngulo de disparo,
los valores de las constantes del compensador y la comunicacin serial. Slo falta una
interfaz grfica que permita registrar y mostrar los datos del comportamiento del
rectificador. Dicha interfaz es bastante sencilla y no es relevante exponer el cdigo
realizado, es importante destacar que las primeras pruebas que contaban con las
constantes Kp, Ki y Kd originales obtenidas en el apartado 5.3.2 despidieron resultados
temibles, el voltaje en el rectificador presentaba oscilaciones sostenidas con picos de
tensin que podan ir de mnimo a mximo voltaje en cortos periodos de tiempo. Como
consecuencia directa de lo antes citado se volvieron a realizar los clculos del modelo
matemtico del filtro LC, pero con un valor de resistencia de carga distinto, el mismo era
correspondiente a la resistencia del filamento de los bombillos de algeno pero, en fro.
Ahora con ste modelo se volvi a entonar el controlador de forma terica y se procedi a
probar el rectificador, los resultados obtenidos fueron igual de espeluznantes.
Es muy bien conocido que existen sistemas de control los cuales no pueden
entonarse de manera terica, debido a esto se opto por tratar de entonar al controlador
utilizando mtodos prcticos. Se manejaron dos mtodos de entonacin empricos, ambos
propuestos por Ziegler & Nichols, la tcnica de la ganancia ltima y la tcnica de la curva
de reaccin, despus de muchos intentos de entonacin y algunas pruebas al rectificador,
los resultados seguan siendo desalentadores. Entonces se decidi realizar la entonacin
del controlador PID de manera totalmente emprica, que es un mtodo totalmente vlido
y usado en la industria. De tal manera que, se fueron cambiando las constantes del PID
tantas veces como fue necesario y la par se probaba el desempeo del rectificador, as
hasta conseguir los valores adecuados de Kp, Ki y Kd. A continuacin se muestran una
serie de grficas donde puede verse el desempeo del rectificador una vez puesto en
funcionamiento el controlador PID y siendo ste, entonado por ensayo y error.
Se le puede atribuir la incapacidad de sintonizar el controlador PID de una manera
terica, al desconocimiento de la dinmica en el dominio de Laplace, del banco de
tiristores del rectificador, y a que, el modelo matemtico del filtro no contempla la
resistencia variable de la carga, en ste caso representada por los bombillos de algeno.

69

Fig. 5.19: Respuesta del rectificador ante varias consignas de voltaje (valores medidos a
travs de la tarjeta de control).

Fig. 5.20: Detalle del sobrepico en la respuesta del controlador (valores medidos a travs
de la tarjeta de control).

70

Fig. 5.21: Referencia de la oscilacin y el transitorio antes de recuperarse la seal


(valores medidos a travs de la tarjeta de control).
Luego de varias pruebas al rectificador y cambios de constantes del controlador, se
lleg a un conjunto de valores bastante aceptables. En la figura 5.19 se puede observar
un ensayo al rectificador, del cul se puede comentar que, la respuesta del sistema frente
al escaln posee sobrepico y ante un set alto de voltaje (alrededor de los 160 voltios)
goza de oscilacin sostenida. Los valores de stas constantes no son relevantes, as que
no se expondrn por ahora, porque como se ver en apartados posteriores a ste, al
conectar la etapa de regulacin lineal, el controlador PID discreto debi ser sintonizado
nuevamente. La grfica 5.20 muestra el detalle de la respuesta con sobrepico, prximo a
los 35 voltios y con un tiempo de establecimiento de ms o menos 500 milisegundos,
dicho tiempo de establecimiento es bastante bueno mientras que el valor del sobrepico es
inaceptable. De igual manera en la figura 5.21 se puede observar el transitorio de la seal
al momento de bajar el set de voltaje, tambin del orden de decenas de voltios, mientras
que el tiempo de establecimiento se mantiene cercano a los 500 milisegundos. Es
importante destacar que las figuras 5.19 hasta la 5.24 son mostradas por medio de la
interfaz grfica implementada en LabVIEW.

71

Fig. 5.22: Ensayo final del rectificador controlado por el PID discreto (valores medidos a
travs de la tarjeta de control).

Fig. 5.23: Detalle de la respuesta frente al escaln, del ensayo final en el rectificador
(valores medidos a travs de la tarjeta de control).

72

Fig. 5.24: Referencia de la respuesta del rectificador, ante disminuciones bruscas del set
de voltaje (valores medidos a travs de la tarjeta de control).
Las figuras 5.22, 5.23 y 5.24 muestran el desempeo del rectificador con las
constantes Kp, Ki y Kd finales que se encontraron, puede verse que para un ensayo con
varias consignas de voltaje el rectificador se comporta a cabalidad, el mismo no presenta
sobrepicos ni transitorios como en las prueba anteriores, incluso al mximo valor del
rectificador, que para ste momento se haba cambiado a 180 voltios. En las partes
planas de la seal rectificada se alcanza a ver unos pequeos picos, stos corresponden
al rizado del voltaje, como es bien sabido todo rectificador posee rizado, en este caso
ronda entre 2 voltios. Tambin puede verse que logr disminuirse el tiempo de
establecimiento a menos de 200 milisegundos, frente a un escaln de mximo rango (es
decir de 0 a 180 voltios), de igual manera alcanz a disminuirse el tiempo de
establecimiento para una disminucin del set de voltaje, aproximadamente a 350
milisegundos, en ste caso no se pudo mejorar ms el tiempo, debido a que depende
directamente del tiempo de descarga del banco de condensadores y como se vio en
apartados anteriores el mismo es bastante grande. Otro detalle que vale la pena destacar
y que no puede apreciarse en ninguna grfica es que, existe una diferencia entre el set de

73
voltaje asignado desde el panel frontal y el valor que logra el rectificador, por los
momentos esto no es relevante y se ver corregido en apartados posteriores. Despus de
haber expuesto los 3 apartados anteriores, as como observar y analizar los resultados
obtenidos qued validado la implementacin y funcionamiento del controlador PID
discreto.

5.4. Regulacin Lineal


Una vez validado el funcionamiento del rectificador trifsico controlado por el
compensador PID, slo resta la puesta en marcha del regulador lineal para certificar el
funcionamiento de la fuente DC. Vale la pena destacar que la rectificacin y la regulacin
son 2 procesos controlados independientemente, pero que guardan una estrecha relacin
entre s, la misma ser descrita ms adelante. Para la puesta en marcha del regulador
lineal, fue necesario modificar nuevamente el programa en el PIC de la tarjeta de control,
ampliar la interfaz grfica diseada en LabVIEW y modificar el circuito impreso de la
tarjeta de control.

5.4.1

Modificacin en el Cdigo Fuente del PIC


Poner en marcha el regulador lineal de la fuente, ameritaba supervisar desde la PC

varios parmetros que ms adelante permitiran vigilar el desempeo de la fuente como


tal, entre otras cosas, obviamente el cdigo del PIC deba cambiar para lograr esto. Las
modificaciones realizadas en el programa del PIC representan aproximadamente 350
lneas de cdigo, razn por la cul el cdigo est expuesto en su totalidad en el apndice
9.2, y a continuacin slo se explicar a detalle cada una de las reformas del mencionado
cdigo.

5.4.1.1

Modificacin de La consigna del PID discreto

Anteriormente se aclaro que el PID discreto estaba diseado para seguir un set de
voltaje o consigna impuesta directamente desde el panel frontal de la fuente. Ahora lo
que se quiere es que el voltaje en el rectificador siga al voltaje en el regulador, para que
la diferencia de voltaje entre los extremos del regulador sea constante, y as de alguna
manera manipular la disipacin de potencia del elemento regulador. Gracias a la cantidad
de corriente que deber soportar el elemento de paso, la disipacin de potencia se hace
prohibitiva y debe estar muy bien controlada. La figura 5.25 muestra un esquema de la
idea que se quiere exponer.

74

Fig. 5.25: Esquema bsico de la regulacin propuesta.


Se comenz asumiendo que la corriente del condensador C, que sirve como filtro
de salida es despreciable con respecto a la corriente de la carga, entonces podemos
definir la potencia del elemento regulador como, la corriente Iout por la diferencia de
voltaje entre los extremos del regulador. Para el caso especfico de sta fuente la mayor
corriente que atravesar al elemento regulador ser 100 amperios, entonces se fij como
diferencia entre los extremos del regulador un valor de 5 voltios, esto con el fin de que, el
dispositivo regulador disipe una potencia mxima de 500 vatios. De tal modo que, ahora
el cdigo del PID discreto posee una consigna fija, y el valor del voltaje rectificado se
ajusta al valor que sea necesario para hacer esto posible, siguiendo siempre al voltaje de
salida. Recurdese que el control del regulador y rectificador son independientes, gracias
a esto el voltaje en el regulador puede aumentar un mximo de 5 voltios o disminuir todo
lo que permita su estado en algn instante de tiempo, y el controlador PID se encargar
de tener al voltaje en el rectificador siempre 5 voltios por encima de ste.

5.4.1.2

Mejoramiento de la Comunicacin Serial

En aparatados anteriores se explic ligeramente que el programa en el PIC de la


tarjeta de control, fue modificado para permitirle a ste comunicarse de manera serial
con una PC, para ste instante era imperativo aumentar la cantidad de datos a enviar,
por lo que fue necesario mejorar dicha comunicacin serial. El formato de una de las
tramas que se enva a la PC se muestra en la figura 5.26.

Fig. 5.26: Formato de una de las tramas de envo de datos a la PC.

75
La trama expuesta en la figura 5.26, es usada para enviar a la PC el estado
general de la fuente cuenta con 19 bytes de informacin dispuestos de una manera
especfica, donde cada uno de los renglones se detalla a continuacin
C (Cantidad): es un byte que contiene la cantidad de datos que se estn
enviando.
T (Tipo): byte que indica el tipo de trama enviada.
Punto Flotante: Aqu estn contenidos 4 nmeros en formato punto flotante
de PIC (4 bytes cada uno), los cuales son los datos que se estn enviando a
la PC, como hace referencia su nombre Vrect es el voltaje en el rectificador,
Temp, corresponde a la temperatura en el disipador donde va conectado el
regulador, Vout es igual al voltaje de salida o voltaje del regulador y Corrie
pertenece a la corriente de la carga o de salida.
CHK (Chksum): ste byte contiene la sumatoria de 8bits sin signo de todos
los bytes anteriores, es decir, C+T+los datos sin incluir al CHK.
Otra trama de envo implementada en el PIC es la citada en la figura 5.27, la
misma se utiliza para enviar a la PC el estado de las constantes del controlador PID
discreto, porque como se vio en las anteriores pruebas al rectificador, las mismas
debieron ser cambiadas en ms de una oportunidad y es de esperarse que al conectar el
regulador, el controlador PID pierda la sintona. Entonces no es descabellado tener una
especie de historial de las constantes anteriores y as realizar un mejor seguimiento. La
descripcin de los renglones es la misma que la trama antes expuesta en este caso
cambia la cantidad de datos y por supuesto el tipo.

Fig. 5.26: Trama de envo de las constantes del controlador PID a la PC.
De igual manera que se optimiz el envo serial a la PC, se mejor tambin la
recepcin. El PIC ahora cuenta con la capacidad de recibir desde la PC el set de voltaje y
corriente para la salida de la fuente, adems de recibir las constantes Kp, ki y Kd del

76
controlador PID discreto. Dicha recepcin presenta un pequeo contratiempo que se ver
solucionado en el siguiente apartado.

5.4.1.3

Almacenamiento en la EEPROM del PIC

Para las pruebas de rectificacin efectuadas en el apartado 5.3.3 se programaba el


PIC una y otra vez para cambiar las constantes del controlador, lo que obviamente
representaba un proceso engorroso, ahora con las mejoras efectuadas en la comunicacin
serial, stas constantes podan ser enviadas va serial desde la PC y ser cambiadas en
plena corrida del programa.
El problema que se enfrenta a la hora de recibir data de la PC reside en que, el
formato en computadora para un nmero punto flotante difiere en un par de bits, con
respecto al formato de PIC, para solventar esto se implemento una pequea rutina que
reciba los 4 bytes correspondientes al punto flotante de la PC, modificaba los bits
correspondientes y construa el nmero punto flotante en formato PIC.

Ahora se

enfrentaba un nuevo problema, al momento de apagar la fuente se perda la memoria


voltil del PIC y las constantes volvan a ser las inicialmente definidas en el cdigo fuente.
Para mitigar este inconveniente, se le agreg al PIC la funcionalidad de almacenar las
constantes Kp, Ki y Kd en la memoria no voltil del PIC llamada EEPROM, de modo que,
cada vez que llegaba al PIC la trama correspondiente a las constantes del controlador, las
mismas eran guardadas automticamente en la EEPROM, para que al momento de apagar
la fuente las mismas no se perdieran, de igual manera se poda tener acceso a cualquiera
de ellas en todo momento para conocer su status (Vase cdigo en Anexo 10.2).

5.4.2

Ampliacin de la Interfaz Grfica


Para la supervisin del desempeo de la fuente, una vez conectado el regulador

lineal, fue necesario incorporarle varias cualidades al cdigo grfico elaborado en


LabVIEW. Recordemos que el elemento regulador es un transistor de potencia IGBT,
operando en su zona lineal, donde la disipacin de potencia ejercida por ste dispositivo
es determinante, gracias a lo antes mencionado dicha interfaz grfica es bastante
explcita. La interfaz esta basada en una mquina de estados, donde el usuario puede ir
con plena libertad a cualquier estado de la misma, por supuesto sta maquina de estado
pretende estar en perfecta sincrona con el programa que se ejecuta a la par en el PIC,
aunque ya la tarjeta de control, sus funcionalidades y el PIC estn bastante cargados.
Las cualidades sumadas a la interfaz grfica se enumeran a continuacin:

77
Envo de las constantes Kp, Ki y Kd por separado del controlador.
Envo del set del voltaje y corriente a la salida de la fuente.
Visualizacin de las ltimas constantes del controlador guardadas en la
EEPROM del PIC.
Visualizacin del voltaje del rectificador, voltaje de salida, temperatura del
disipador conectado al elemento regulador, corriente de salida, potencia de
la fuente y potencia disipada por el elemento de paso.
Visualizacin de errores de comunicacin, verificando el chksum incluido en
la trama.
Almacenamiento en archivos independientes de la data corriente.

Fig. 5.27: Detalle de una parte de la interfaz grfica modificada.


Las figuras 5.27, 5.28 y 5.29 muestran parte de la interfaz grfica, as como una
porcin del cdigo de la mquina de estados implementada, ntese el detalle del men
con los distintos estados y lo amigable de la visualizacin de las grficas.

78

Fig. 5.28: Panel frontal de la interfaz grfica mejorada.

79

Fig. 5.29: Parte del cdigo implementado, para la interfaz grfica modificada. Estado 5:
recepcin y visualizacin de los valores de la fuente.

80

Fig. 5.30: Parte del cdigo de la mquina de estados. Estado 6: Recepcin de constantes.

81
5.4.3

Pruebas Preliminares de Regulacin Lineal


Una vez modificado el cdigo del PIC de la tarjeta de control y ampliada la interfaz

grfica, se puso en marcha el regulador lineal. Ante la incertidumbre de los resultados las
primeras pruebas consistieron en colocar un valor fijo en el rectificador igual a 50 voltios,
y cambiar las consignas del regulador desde el panel frontal para observar su desempeo,
la figura 5.31 muestra una de las primeras pruebas del voltaje de salida, se puede
observar el sobre pico, transitorio cuando disminuye el voltaje y un poco de oscilacin.

Fig. 5.31: Prueba preliminar de regulacin (valores medidos a travs de la tarjeta de


control).
Como se mencion anteriormente el control del regulador y del rectificador son
independientes, en la figura 5.32 se muestra el circuito que se encarga de controlar al
transistor de potencia, el mismo se encuentra embebido en la tarjeta de control. Se
puede ver que el circuito cuenta con dos reguladores lineales que actan sobre el voltaje
del Gate del transistor, esto es debido a que la fuente posee la dualidad de ser fuente de
corriente o fuente de voltaje. Entonces siempre se puede cambiar la dinmica del
controlador modificando los componentes R3-C1 y R13-C18 para ajustar el lazo de control
voltaje y el de control de corriente respectivamente.

82

Fig. 5.32: Lazo dual de control para el transistor de potencia.


Luego de varias pruebas cambiando los componentes antes mencionados, para
modificar la respuesta del lazo de control se obtuvieron resultados alentadores, la figura
5.33 muestra la respuesta de la fuente en modo fuente de voltaje, los sobrepicos
mejoraron bastante, el rizado es despreciable pero cerca a los 42 voltios existe ruido.

Fig. 5.33: Respuesta del regulador, luego de la entonacin anloga preliminar (valores
medidos a travs de la tarjeta de control).

83
Visto los resultados anteriores, es posible liberar al voltaje rectificado y observar
como se comporta el sistema trabajando los dos voltajes a la par, pero en ste caso la
diferencia entre los voltajes rectificado y de salida, que estaba inicialmente pautada a 5
voltios se incremento a 10 voltios viendo el pequeo ruido que presento cercano a los 45
voltios. La figura 5.34 muestra las grficas correspondientes a un ensayo preliminar del
regulador lineal, es importante destacar que, el algoritmo implementado en el PID
funciona a la perfeccin, el voltaje en el rectificador sigue al voltaje de salida segn la
diferencia fija impuesta.

Fig. 5.34: Detalle de un ensayo preliminar del regulador lineal (valores medidos a travs
de la tarjeta de control).
La figura anterior muestra un detalle importante, los sobrepicos y transitorio en el
voltaje de salida se extinguieron por completo, esto se debe a que la respuesta del
regulador es mucho ms rpida y exacta que la del rectificador, y ante subidas de voltaje
el elemento regulador no posee sino 10 voltios por encima para aumentar, que es el
margen que le permite el rectificador. Luego que el voltaje de salida alcanza al voltaje en

84
el rectificador, suben casi juntos hasta que el regulador llegue a su consigna, mientras
que el voltaje en el rectificador se posiciona 10 voltios por encima.

5.4.3.1

Calibracin de la Fuente

Despus de todas las pruebas efectuadas a la fuente, slo restaba realizar las
pruebas finales de la misma como un sistema completo y validar su funcionamiento, pero
el inconveniente que presenta la fuente con respecto al set de voltaje, el cul se
mencion en apartados anteriores, deba ser solucionado antes de realizar dichas
pruebas. ste mismo problema, tambin se refleja en el set de corriente, adems, las
mediciones de tensin y corriente que realiza la tarjeta de control de igual manera
presentan un pequeo error con respecto a multmetros y ampermetros calibrados.
Para la calibracin de la fuente el procedimiento fue el siguiente, primero era
necesario contar con instrumentos de medicin confiables, para asegurar esto se cont
con el apoyo de la unidad ENINSEL (Ensayo e Inspecciones Elctricas) del Instituto de
Ingeniera, al mismo ente se le fue entregado dos voltmetros y un ampermetro de
gancho, instrumentos con los cules se realizarn las mediciones patrn de la fuente,
para luego comparar estas con las que arroja la tarjeta de control de dicha fuente. Es
importante destacar que ENINSEL no calibrar los instrumentos, solo entregar una carta
mostrando una tabla de mediciones realizadas con los instrumentos a ellos entregados,
dichas mediciones sern comparadas con un patrn trazado metrolgicamente y sern
muy parecidas a las que se ejecutarn en la fuente, la tabla puede verse en el apndice
9.3. La antes mencionada tabla refleja una variacin en la medicin de los voltmetros de
un 0.005% y en el ampermetro de un 0.05%, es decir que se puede confiar en las
mediciones que se efectuarn con dichos instrumentos.
Luego de esto se realiz un barrido de la fuente, desde 0 hasta casi 180 voltios en
la salida, en intervalos de 5 voltios y se registraron todos los valores correspondientes a
voltaje en el rectificador, voltaje a la salida y corriente de salida. Tantos los medidos por
la fuente como los medidos por los instrumentos, el registro de todos stos datos reposan
en la tabla 5.3. Una vez obtenidos todos los datos se procedi a realizar curvas de ajuste,
donde al trazar la lnea de tendencia se pueda observar el Offset y la compensacin de la
pendiente, ambos necesarios para acercar, tanto las mediciones como las consignas de
voltaje y corriente a los valores reales.

85

Set de Voltaje

Vout Fuente

Vrect Fuente

Iout
Fuente

Vout Volti

Vrect Volti

Iout Ampe

0
5
10
15
20
25
30
35
40
45
50
55
60
65
70
75
80
85
90
95
100
105
110
115
120
125
130
135
140
145
150
155
160

0,6
1,76
7,35
13,01
18,66
24,32
29,97
35,6
41,32
46,92
52,65
58,31
64
69,63
75,33
81,01
86,69
92,37
98,04
103,7
109,4
115
120,6
126,3
132
137,7
143,4
149
154,7
160,4
166,1
171,8
177,4

5,4
6,9
12,2
18,02
23,66
29,02
35,1
40,07
46,5
51,3
57,1
63,2
69,1
74,97
80,2
85,6
91,02
97,68
102,3
109
114,2
120,1
125,5
131,3
136,6
142,2
148,5
153,3
159,2
165,5
171
176,5
182,3

0,55
0,93
3,3
4,3
4,92
5,53
6,1
6,66
7,12
7,67
8,2
8,67
9,13
9,57
10,02
10,45
10,85
11,29
11,67
12,05
12,42
12,78
13,13
13,51
13,84
14,17
14,51
14,87
15,19
15,51
15,81
16,31
16,57

0
1,052
6,53
12,18
17,84
23,5
29,12
34,78
40,45
46,07
51,74
57,41
63,08
68,7
74,4
80,01
85,7
91,4
97
102,7
108,4
114
119,6
125,4
131
136,7
142,3
148
153,6
159,3
164,9
170,7
175,9

0,2
6,7
12,35
18,03
23,55
29,2
34,8
40,44
46,02
51,8
57,2
63
68,4
74,2
79,9
85,6
91,2
96,9
102,4
108,2
113,8
119,3
125,1
130,8
136,4
142,2
148
153,5
159,2
164,9
170,5
176,3
181,7

0,9
1,21
4,09
5,13
5,94
6,63
7,27
7,98
8,57
9,19
9,76
10,3
10,87
11,37
11,89
12,39
12,86
13,33
13,77
14,21
14,63
15,08
15,45
15,85
16,26
16,67
17,05
17,41
17,79
18,14
18,51
18,87
19,29

Tabla 5.3: Tabla para la calibracin de la fuente (valores medidos).


A continuacin se mostrarn las curvas correspondientes a la calibracin del set de
voltaje y la curva para la calibracin de la medicin de corriente. De igual manera se
realizaron curvas para el set de corriente y para las mediciones de los voltajes rectificado
y de salida, las cules no estn expuestas en ste documento. Es importante resaltar la
relevancia de ste apartado, porque es casi imposible la realizacin de una fuente DC de
laboratorio, que no cuente con ninguna calibracin o comparacin con algn patrn
internacional, lamentablemente esto no se estila, pero para un trabajo de sta ndole fue
imprescindible la realizacin de dicha calibracin.
El cdigo que este implementado en el PIC, al momento de hacer las conversiones
anlogo- digital, para calcular los valores de voltaje y corriente vistos por la tarjeta de
control, cuenta con un segmento del algoritmo que se encarga de realizar una calibracin

86
preliminar de la medicin. Contando con esto, simplemente se tomaron los nuevos
valores y se hicieron las correcciones pertinentes al caso, ahora en cuanto al set de
voltaje y corriente impuesto desde el panel de control, el caso fue distinto porque el
cdigo no contaba con un lugar para colocar los parmetros de calibracin, pero la
implementacin de dichos parmetros al algoritmo fue realmente fcil y de excelentes
resultados.

Recta de calibracin de la medicin corriente


25
y = 1,1539x + 0,2758
R2 = 0,9998
Serie1

20

Iout amperimetro

Lineal (Serie1)

15

10

0
0

10

15

20

Iout Fuente

Fig. 5.35: Calibracin de la medicin de la corriente de salida.

Recta de calibracin del set de voltaje


180
y = 0,887841x + 3,767791
2
R = 0,999785

160

Vout Fuente

140

Serie1
Lineal (Serie1)

120
100
80
60
40
20
0
0

50

100

150

200

Set de Voltaje

Fig. 5.36: Calibracin del set en el voltaje de salida.

87
5.4.4

Pruebas Finales de la Fuente


Finalmente y despus de todo lo antes visto, se procedi a realizar las prueba

finales a la fuente DC, los ensayos son bsicamente, desempeo de la misma como
fuente de voltaje y como fuente de corriente y pruebas de regulacin de carga, adems
de verificar la capacidad de la fuente para entregar toda la potencia ofrecida al inicio de
ste tomo.

Fig. 5.38: Prueba final de la fuente, con picos de potencia en el elemento de paso.
En la figura 5.38 puede verse un ensayo de la fuente DC, trabajando en modo
fuente de voltaje, vale la pena acotar que como carga a la fuente se siguen usando
bombillos de algenos, slo que en mayor nmero. Ntese lo alto del primer pico de
corriente el mismo corresponde al primer escaln de voltaje, esto es debido a que los
bombillos son muchos y estn conectados en paralelo y la resistencia que reflejan en fro
es casi un corto circuito. Puede observarse tambin el seguimiento en todo momento del
voltaje rectificado al voltaje de salida, ya en ste caso de vuelta a 5 voltios de diferencia,
aunque la potencia disipada por la carga ronda los seis mil vatios la potencia disipada por

88
el elemento de paso esta alrededor de 200 vatios. Otro detalle curioso de la figura antes
expuesta, son los picos de potencia que sufre el elemento de paso, an cuando en ese
instante no existan subidas abruptas ni de corriente ni de voltaje.
Al ver la figura anterior, puede parecer que todo march a la perfeccin, pero
antes de obtener stas grficas, fue necesario volver a sintonizar el controlador PID
discreto muchas veces, al mismo tiempo que se sintonizaba el control PI anlogo
mostrado en la figura 5.32. Porque aunque los lazos de control son independientes, de
alguna manera interactuaban entre s. Estas indeseadas entonaciones trajo como
consecuencia la avera del transistor de potencia IGBT, en un descuido al cambiar las
constantes del compensador PID discreto. La procura para la obtencin de uno nuevo fue
inmediata, pero esto no garantizaba su pronta adquisicin. Lamentablemente al momento
del percance no se contaba con otro transistor igual y ante el temor de que se volviera a
daar el prximo en espera, se decidi realizar las restantes pruebas con transistores ms
pequeos y porque no, menos costosos.

Fig. 5.39: Detalle del pico de potencia en el elemento de paso.

89
En la figura 5.39 se puede observar gracias al cambio de las escalas en las
grficas, uno de los picos de potencia del elemento de paso un poco ms detallado y el
porque se genera, como se dijo anteriormente la respuesta del regulador es mucho ms
rpida que la respuesta del rectificador, as que, cuando se le ordena al regulador
disminuir su voltaje ste lo hace rpidamente mientras que el voltaje en el rectificador se
queda colgado por un tiempo antes de seguirlo. Si se observa minuciosamente la grfica
se aprecia que se ha generado una mayor diferencia de potencial entre los extremos del
regulador justo en el momento que se queda colgado el rectificador, entonces esta
diferencia mayor a 5 voltios en los extremos del elemento de paso, multiplicada por la
corriente de salida en ese preciso instante de tiempo, genera el pico de potencia. Luego
de manera natural y velozmente el pico de potencia se aminora, pero a mayores niveles
de voltaje y corriente, estos picos pueden sostenerse unos cuantos milisegundos
suficientes para daar al transistor o elemento de paso.

Fig. 5.40: Prueba de la fuente en modo: fuente de corriente.

90
El funcionamiento de la fuente en modo, fuente de corriente es perfecto en la
grfica 5.40 se observa como mantiene la consigna de corriente fija, mientras que el
voltaje a la salida y en el rectificador estn donde sea necesario que estn, pero este
buen funcionamiento del modo fuente de corriente, no exonera al transistor de los picos
de potencia a los que puede quedar expuesto.
Ahora bien, frente a este problema una solucin puede ser, tener cuidado al
momento de manipular la fuente y simplemente no someterla a bajones bruscos de
tensin, pero quedara parcialmente expuesta al error humano. Otra solucin sera
capturar el set de voltaje impuesto por el usuario, realizar una serie de clculos y tomar
las decisiones pertinentes al caso (como por ejemplo bajar el voltaje poco a poco hasta la
consigna impuesta), todo esto, antes de pasar la consigna de voltaje al regulador, una
idea ms clara de esto puede verse en las recomendaciones 7.1. La idea antes
mencionada funcionara perfectamente para errores de tipo humano, pero frente a un
corto circuito o un evento fortuito externo la fuente esta totalmente desprotegida. Para
solventar ste problema se idealiz y diseo preliminarmente un circuito controlador de
potencia, el cul apagar casi de manera inmediata al transistor regulador, cuando el
mismo se encuentre ante sobrepicos de potencia, el detalle del circuito protector de
potencia puede verse ms adelante en las recomendaciones 7.2.

5.4.4.1

Regulacin de Carga

Un parmetro de calidad altamente conocido en las fuentes reguladas, es la


regulacin de carga, a grandes rasgos se puede decir que la regulacin de carga es la
capacidad que tiene la fuente de mantener su salida estable, ante peticiones repentinas
de corriente y por supuesto sta fuente no escapa de dichas pruebas. Para la ejecucin de
las antes mencionadas pruebas, se elabor con un par de contactores y un par de
conmutadores una especie de switch de potencia, el cul acoplaba y desacoplaba a la
salida de la fuente una carga con requerimientos responsables de corriente. Los ensayos
preliminares arrojaron resultados terribles, se decidi entonces cambiar el condensador
de salida por uno un poco ms grande y por supuesto se tuvo que entonar nuevamente el
controlador PI anlogo del regulador, despus de esto, los resultados mejoraron un poco
y estn plasmados en la figura 5.41, es fcil darse cuenta que cada vez que se conecta la
carga aparecen los picos en la corriente de salida, que por supuesto genera igual picos en
la potencia del elemento de paso y la potencia de salida. Pero ocurre lo contrario en los
voltajes rectificado y de salida, como era de esperarse. El inconveniente radica en que

91
ambos caen decenas de voltios representado ms o menos un 20% del valor del voltaje
total a la salida, lo cul es totalmente inaceptable.

Fig. 5.41: Ensayo preliminar de regulacin de carga.


El voltaje a la salida del regulador cae, porque esta siguiendo al voltaje en el
rectificador, al parecer el rectificador no tiene la suficiente energa acumulada para
responder ante escalones de carga repentinos o est mal sintonizado el controlador PID
discreto. Entonces luego de muchas pruebas e infructferas sintonizaciones de ambos
controladores, se comenz a observar el patrn antes citado, a medida que el voltaje del
rectificador es ms alto la regulacin de carga es mejor, algo totalmente lgico debido a
que la carga en un condensador es proporcional con el cuadrado de su voltaje, entonces
se realizaron pruebas a valores altos de voltajes y se compararon con los resultados
preliminares, con los voltajes altos en el rectificador el banco de condensadores del filtro
LC se encuentra bien cargado, y est preparado para responder ante peticiones de altos
niveles de corriente en este caso, el regulador ni se entera que le fue conectada carga a
la fuente, porque toda la corriente la suministra el banco de condensadores. Pero queda

92
an un problema, que se debe hacer con los voltajes bajos a la salida y la regulacin de
carga.
Para solucionar ste problema de entrada lo ms lgico sera cambiar el banco de
condensadores, pero como se vio en captulos anteriores el chasis est hecho a la
medida, y condensadores ms grandes implicara ms espacio del cul no se dispone en
el mismo. Adems componentes como stos lamentablemente hay que importarlos y
tampoco se dispone del tiempo para ello, asimismo constituye una solucin sumamente
costosa. Gracias a todo esto se ideo una solucin va software, la misma consista en que,
dependiendo de los voltajes y las corrientes presentes en un instante de tiempo, se
ejecutan una serie de clculos matemticos en el PIC y manteniendo un nivel aceptable
de disipacin de potencia en el elemento regulador, se ajusta la diferencia de voltaje,
hasta ahora constante en los extremos del transistor, para poder aumentar de ste modo
el voltaje en el rectificador y el banco de condensadores este mejor cargado. Un anlisis
ms detallado de sta solucin puede verse en las recomendaciones 7.3.

Fig. 5.42: Detalle de la regulacin de lnea para voltajes altos del rectificador.

93
5.4.4.2

Mxima Potencia Entregada

Por ltimo apartado de ste tomo se tiene las pruebas de la fuente, a lo que se
intent fuera mxima potencia pero, gracias a problemas por falta en el inventario de
componentes dichas pruebas no se pudieron continuar. Sin embargo los resultados
obtenidos fueron muy buenos, y aunque no se logr la potencia prometida al inicio del
libro no queda duda que con los dispositivos adecuados se superarn las expectativas.

Fig. 5.43: Prueba de la fuente DC entregando 12,5 kW.

94

Fig. 5.44: Prueba de la fuente DC entregando 13,4 kW.

95

6.

Conclusiones

1. Aunque la fuente DC present problemas en cuanto a la regulacin, casi se lograron


los objetivos propuestos, hay que tomar en cuenta que el transistor utilizado en las
pruebas era 4 veces ms pequeo. Lo que no deja duda al pensar que, con el
transistor inicial los objetivos se hubiesen superado.
2. La teora propuesta en esta tesis, de utilizar el seguimiento del voltaje rectificado al
voltaje de salida qued demostrada. Permitiendo as a la fuente entregar grandes
cantidades de potencia, a costa de una disipacin de casi un 3% de la potencia total
en el elemento de paso.
3. En aplicaciones de bajo voltaje y baja corriente, la regulacin lineal con transistores
IGBT de potencia es perfecta, debido a que los picos de potencia que pudiesen existir
en el dispositivo son manejables por el mismo. Incluso ante un corto circuito.
4. En aplicaciones industriales el rectificador trifsico completamente controlado,
representa una buena opcin para voltajes DC de alta potencia. Por lo robusto y fcil
de su implementacin.
5. Contando con la ayuda de patrones de medicin internacionales, es indispensable en
cada uno de los equipos de laboratorio, que los mismos cuenten con una calibracin
seria, ms an cuando los equipos son de fabricacin nacional.

96

7.

Recomendaciones

7.1. Captura de la Consigna de Voltaje


Para mitigar el efecto que produce en el elemento regulador, en ste caso el
transistor de potencia IGBT, las grandes diferencias de voltaje que se producen en los
extremos del mismo, cuando ocurren cambios bruscos de voltaje por consignas erradas o
descuido del usuario. Se puede implementar en el algoritmo que posee el PIC de control,
una rutina que capture la consigna del voltaje de salida y antes de que viaje a los pines
de control del IGBT, realizar algunos clculos para evitar posibles daos del transistor.
A priori puede pensarse en tomar el valor actual del voltaje en el rectificador y
tomar el valor del set de voltaje a salida, restarlos y multiplicar el resultado por la
corriente de salida. As se tendr una idea de la posible disipacin de potencia del
elemento de paso, comparar ste valor de potencia con un valor ya preestablecido como
la mxima disipacin de potencia permitida, y si el valor obtenido es menor, no se realiza
ninguna accin. Al contrario si el nmero hallado rebasa el mximo de potencia
preestablecido, habr que realizar el cambio en el regulador de una manera suave, es
decir en pequeos escalones hasta llegar a la consigna. Aqu no queda muy claro y es
objeto de pruebas experimentales, que pasar con las consignas que son por encima del
valor del voltaje en el rectificador, porque el fenmeno de grandes diferencias de voltaje
ocurre cuando el voltaje en el regulador baja mucho y el rectificador tarda en seguirlo, lo
ms sensato puede ser desactivar el algoritmo diseado para subidas de voltaje en el
regulador.
Otra manera de solucionar el problema se consigue, chequeando constantemente
la derivada de la corriente de salida, para as de alguna manera conocer que tan rpido
sube la misma. Si dicha corriente tiene una pendiente de salida muy alta, se abre el
circuito de control para apagar parcialmente el transistor. Esto tambin es objeto de
pruebas experimentales, porque hay que detallar en cuanto se afecta la regulacin de la
fuente.

7.2. Control de Potencia


El control de potencia propuesto en el apartado 5.4.4 se muestra en la figura 7.1,
consiste bsicamente en un multiplicador y comparador analgico de seales, el cual
toma dos mediciones las multiplica analgicamente

y las compara con un set

predeterminado, luego de la comparacin arroja una salida la cul depende si la

97
comparacin fue mayor o menor que el set. sta salida es la que se utilizar para abrir el
lazo de control del IGBT de potencia, mostrado en la figura 5.32 y de esta manera apagar
al transistor, a medida que el voltaje en el rectificador se vaya recuperando, los niveles
de potencia van disminuyendo y el comparador se encarga de prender nuevamente al
transistor. Una vez ms este protector de potencia debe ser probado experimentalmente,
aunque en simulaciones se vea muy bien se tiene que estudiar el efecto que causa en la
regulacin de la fuente.

Fig. 7.1: Control de potencia para proteger al elemento regulador.

7.3. Diferencia de Voltaje entre los Extremos del Regulador, Dinmica


Para mitigar un poco el problema que se presento en la regulacin de carga, donde
se lleg a la conclusin de que, era necesaria ms carga en banco de condensadores o
ms capacitancia. Se implemento en el PIC de la tarjeta de control un pequeo algoritmo,
el cul calculaba cuanto poda subir el voltaje en el rectificador sin daar el transistor,
manteniendo como referencia 500 vatios de disipacin mxima en el elemento regulador.
Para lograr esto se creo una tabla en el PIC de control, que contena los valores
correspondientes a corriente y diferencia de voltaje dinmica. El cdigo tiene la capacidad

98
de verificar si con la diferencia de voltaje impuesta por la tabla, el voltaje en el
rectificador se ve sobrepasado en su mximo valor, s es as toma el rectificador sube a
su mximo valor posible. El algoritmo fue implementado y probado experimentalmente,
pero en medio de stas pruebas el transistor para aquel momento utilizado, se averi y
no se pudo reemplazar. Debido a lo antes dicho, es que stas pruebas reposan en las
recomendaciones y no en los resultados experimentales, porque estn incompletas. La
figura 7.2 muestra una de las pruebas preliminares de la diferencia de voltaje dinmica.

Fig. 7.2: Diferencia de voltaje dinmica.

99

8.

Bibliografa

[1]. Rashid, Muhammad; Electrnica de Potencia, Circuitos, dispositivos y aplicaciones,


Tercera Edicin, PEARSON EDUCACIN, Mxico, 2004.
[2]. Deitel, H; Deitel, P; Como Programar en C/C++, Segunda Edicin, PEARSON
EDUCACIN, Mxico, 1995.
[3]. Ogata Katsuhiko; Ingeniera de Control Moderna, Tercera Edicin, PRENTICE-HALL
HISPANOAMERICANA, S.A, Mxico, 1998.
[4]. Angulo, Jose; Romero, Susana; Angulo Ignacio; Microcontroladores PIC, Diseo
Prctico de Aplicaciones, Segunda Edicin: PIC 16F87X, Mc Graw Hill, Mxico,
2000.
[5]. Navarro, Rina; Ingeniera de Control, Analgica y Digital, Primera Edicin, Mc
Graw Hill, Mxico, 2004.
[6]. Palacios, Enrique; Remiro, Fernando; Lpez, Lucas; Microcontrolador PIC16F874,
Desarrollo de Proyectos, Segunda Edicin, Alfaomega Ra-Ma, Mxico, 2006.
[7]. Chicala, Carlos; Adquisicin de Datos, Medir para Conocer y Controlar, Primera
Edicin, Soluciones de Control S.R.L, Argentina, 2004.
[8]. Sedra, Adel; Smith, Kenneth; Circuitos Microelectrnicos, Cuarta Edicin, Oxford
University Press, Mxico, 1999.
[9]. Walter, Julio; DESARROLLO DE UN HORNO DE INDUCCIN CON CONTROL DE
POTENCIA USANDO TCNICAS DE MODULACIN SOBRE UNA CARGA RESONANTE,
Tesis Doctoral, Universidad Simn Bolvar, Caracas, 2006, Venezuela.
[10]. OPERATION OF A 3-PHASE FULLY-CONTROLLED RECTIFIER, 10Marzo2008,
http://services.eng.uts.edu.au/~venkat/pe_html/ch05s1/ch05s1p1.htm
[11]. Transistores de Potencia, 17Mayo2008,
http://www.uv.es/marinjl/electro/transistores.html.
[12]. Controlador PID Digital, 20Julio2008,
http://perso.wanadoo.es/chyryes/circuitos/pid.htm
[13]. Digikey, 20Agosto2008, http://www.digikey.com/

100

9.

Apndice

9.1. Cdigo Inicial del PIC de Control


/////////////////////////////////////////////////////////////////////////
////
3PhaseController.c
////
//// ///////////////////////////////////////////////////////////////
#include <16F877A.h>
#device *=16
#include <stdlib.h>
#include <3PhaseController.h>
#fuses HS,NOWDT,NOPROTECT,NOLVP,PUT,BROWNOUT
#use delay(clock=CLK_freq)
#use I2C(SLAVE, SCL=PIN_C3, SDA=PIN_C4, ADDRESS=I2CAddress, FORCE_HW)
#use rs232(baud=9600, xmit=PIN_C6, rcv=PIN_C7)
int alfa;
// ngulo de Disparo
short TR_INT=FALSE;
struct AnaIN AnaACQ;
union MEAS measure;
struct port_b_layout port_B;
// Definir la estructura del puerto b
#byte port_B = 6
// y mapearla al puerto fsico
//set_trisB(0b11110001);// Colocarel nibble superior y la entrada de interrupcin como entrada
void main()
{
int i;
union AnaResult AnaDATA;
setup_adc_ports (NO_ANALOGS);
// Colocar el puerto A como digital
set_tris_A(0xFF);
// Colocar el puerto A como entrada
output_bit (RD, 0);
// Colocar Read en 0
output_bit (WR, 0);
// Colocar Write en 0
////////////////////////////////////////////////////////////////////////////////
//
Programacin y habilitacin de Interrupciones
//
//
//
////////////////////////////////////////////////////////////////////////////////
ext_int_edge (L_TO_H);
enable_interrupts(INT_EXT);// Si llegaron los 16 refrescamientos, habilitar interrupcione
setup_timer_1(T1_INTERNAL|T1_DIV_BY_1);
// setup interrupts
set_timer1 (TIMER1_VAL);
enable_interrupts(INT_TIMER1);
enable_interrupts(GLOBAL);
while (true)
{
if (TR_INT)
// Lleg la interrupcin correspondiente al final del ciclo de la red
{
TR_INT=FALSE;
for (i=0; i<Last_channel+1; i++)
{
AnaDATA.Data[i]=AnaACQ.Data[i]/AnaACQ.mean;// Obtener el valor promedio de la
medicin de los ADC
AnaACQ.Data[i]=0;
// Restaurar a cero el promedio
}
AnaACQ.mean=0;
// Restaurar a cero el contador de promediado
write_DAC(V_DAC, 0x1000);
Write_Data2EEPROM ();
}
}
}
#INT_EXT
void Ext_INT()
{
write_CPLD (AlfaLoad, alfa);
TR_INT=TRUE;
}
#INT_TIMER1
void Timer1_INT()
{
int i;
set_timer1(TIMER1_VAL);
(1/INT_PER_SECOND) us
for (i=0; i<Last_channel+1; i++)
{
AnaACQ.Data[i]+=read_CPLD(i)//
}
AnaACQ.mean++;
write_CPLD (SOC, 0);

// Interrupcin correspondiente a la transicin TR


// Cargar el valor actual de alfa
// e indicar que la transicin TR lleg

// Coloca la interrupcin del timer 1 en

Leer y acumular la ltima conversin activada para ccanal


// Iniciar conversin A/D en los tres convertidores

101
}
#INT_DEFAULT
// Si
lleg una interrupcin no definida, atenderla y activar el bit E2
void Default_INT()
{
output_high (PIN_E2);
output_low (PIN_E2);
}
long int read_CPLD (int select)
{
union DataIN ADC;
// Definir la estructura de entrada
port_B.sel = select;
// Seleccionar tipo de Lectura
output_bit (RD, 1);
// Habilitar Lectura (RD<--1)
ADC.input[0] = input_D();Leer el puerto D, correspondiente a los 8bits menos significativos
ADC.input[1] = port_B.data;// Leer el puerto B, conteniendo a los 4 bits mas significativos
output_bit (RD, 0);
// Deshabilitar Lectura (RD<--0)
return (ADC.Result);
}
void write_CPLD (int select, int write_data)
{
port_B.sel = select;
// Seleccionar tipo de escritura
output_D(write_data);
// Escribir los 8 bits en D,
output_bit (WR, 1);
// Habilitar Escritura (WR<--1)
output_bit (WR, 0);
// Deshabilitar escritura (WR<--0)
set_tris_D(0xFF);
// Colocar D como entrada
}
void write_DAC (short select, long int write_data)
{
if (select)
// Seleccionar escritura para el DAC de voltaje
{
output_bit (SEL_V_DA, 0);
output_bit (SEL_I_DA, 1);
}
else
// Seleccionar escritura para el DAC de corriente
{
output_bit (SEL_V_DA, 1);
output_bit (SEL_I_DA, 0);
}
output_D(MAKE8(write_data, 0));// Escribir los 8 bits menos significativos del valor en D
set_tris_B(0x01);
// Colocar los 4 bits mas significativos de B como salida
port_B.data = MAKE8(write_data, 1);// y escribir los 4 bits mas significativos del valor en
el NIBBLE alto de B
port_B.sel = DALoad;
// Activar la lnea de carga de los DACS
output_bit (WR, 1);
// Habilitar Escritura (WR<--1)
output_bit (WR, 0);
// Deshabilitar escritura (WR<--0)
output_bit (SEL_V_DA, 1);
// Desactivar escritura del DAC de voltaje
output_bit (SEL_I_DA, 1);
// Desactivar escritura del DAC de corriente
set_tris_D(0xFF);
// Colocar D,
set_tris_B(0xF1);
// y los 4 bits mas significativos de B como entrada
}
void Write_Data2EEPROM ()
{
int i;
for (i=0; i<4; i++)
write_eeprom(i, measure.TXvalue[i]); }

9.2.

Cdigo Final del PIC de Control

/////////////////////////////////////////////////////////////////////////
////
3PhaseController.c
////
////
////
/////////////////////////////////////////////////////////////////////////
#include <16F877A.h>
#device *=16 ADC=10
#include <stdlib.h>
#include <3PhaseController.h>
#fuses HS,NOWDT,NOPROTECT,NOLVP,PUT,BROWNOUT
#use delay(clock=CLK_freq)
#use I2C(SLAVE, SCL=PIN_C3, SDA=PIN_C4, ADDRESS=I2CAddress, SLOW, FORCE_HW)
#use rs232(baud=19200, xmit=PIN_C6, rcv=PIN_C7)
typedef enum {Idle, DevAddress, Lenght, A, Volt, Curr, CHKSum} I2C_STATE;
I2C_STATE fState;
short TIM1Flag=FALSE;
short VsetFlag =FALSE;
short ISetFlag = FALSE;
short ALFASetFlag = FALSE;
short ChkSum_OK = FALSE;
short I2CRXFlag = FALSE;
short PS_ONFlag = TRUE;
short PID_Flag = TRUE;
//bandera para la activacin del PID

102
//short over_flag=FALSE;
//variables de la interrupcin RS232
char PC_incoming[7];
char chk_calculado=0;
char data_in;
char chktoPC=0;
char chk1=0;
char chk2=0;
char chk3=0;
char chk4=0;
short RS232_in=FALSE;
short sendtoPC=FALSE;
int num_data=0;
float float_in=0.00;
float gen=0.00;
//variables para uso del PID
int alfa=254;
int alfa1=254;
float vrec_pid=0.00;
float vrec_set=0.00;
float voutPC=0.00;
float tempPC=0.00;
float ioutPC=0.00;
float error_act=0.00;
float error_ant=0.0;
float error_t=0.00;
float pid=0.00;
float kp=0.00;
float ki=0.00;
float kd=0.00;
short kpEEPROM_OK=FALSE;
short kiEEPROM_OK=FALSE;
short kdEEPROM_OK=FALSE;
short send_constoPC=FALSE;
struct AnaIN AnaACQ;
union AnaResult AnaDATA;
float Vset=0.00;
float Iset=0.00;
long int Vout,Iout;
struct port_b_layout port_B;
#byte port_B = 6
#byte SSPSTAT = 0x94
#byte SSPCON = 0x14

// ngulo de Disparo

// Definir la estructura del puerto b


// y mapearla al puerto fsico

struct port_b_layout const CPLD_WRITE = {1,0,0,0x0};


// For write mode all pins are out
struct port_b_layout const CPLD_READ = {1,0,0,0xF};
// For read mode data pins are in
void main()
{
int i=0;
kp=search_varEE(kp_index);
ki=search_varEE(ki_index);
kd=search_varEE(kd_index);
fState = Idle;
// Iniciar la mquina de estado de recepcin I2C
////////////////////////////////////////////////////////////////////////////////
//
Entradas Analgicas: AN1...AN4
//
//
Conversion Clock: /32
//
////////////////////////////////////////////////////////////////////////////////
set_tris_A(0b11001101);
// Colocar el puerto A.0, A.2 y A.3 como entrada
setup_adc_ports (AN0_VREF_VREF);
setup_adc (ADC_CLOCK_DIV_32);
output_bit(PIN_A1, 1);
// Apagar LED A.1
output_bit(PIN_A4, 1);
// Apagar LED A.4
output_bit(PIN_A5, 1);
// Apagar LED A.5
set_tris_B(CPLD_READ);
// Adecuar Puerto B para lectura
output_bit (RD, 0);
// Colocar Read en 0
output_bit (WR, 0);
// Colocar Write en 0
output_bit (SEL_V_DA, 1);
// Desactivar escritura del DAC de voltaje
output_bit (SEL_I_DA, 1);
// Desactivar escritura del DAC de corriente
output_float(PC_SCL);
// Inicializar CLOCK
output_float(PC_SDA);
// y DATA I2C
set_tris_B(CPLD_READ);
// Adecuar Puerto B para lectura
while (i<240)
// Esperar 240 cambios de 60Hz para validacin
{
if (input(PIN_B0))
{
read_CPLD(Stat);
i++;
}
}
port_b.RES=0x1;
// Eliminar RESET general
write_CPLD (AlfaLoad, alfa1)// Envia el valor de alfamax para asegurar no haya falsos disp
for (i=0; i<Last_channel+2; i++) // Inicializar las variables de los valores medidos

103
{
AnaDATA.Data[i]=0.0;
AnaACQ.Data[i]=0;
// Restaurar a cero el promedio
}
AnaACQ.mean=0;
// Restaurar a cero el contador de promediado
write_CPLD (SOC, 0);
// Iniciar primera conversin A/D en los tres convertidores
set_adc_channel (0);
// Inicializar la primera Conversion en el ADC del micro
delay_us(20);
// Espera de 2Tad para iniciar conversin
read_adc (ADC_START_ONLY);
delay_us(50);
// Asegurar tiempo de conversin
////////////////////////////////////////////////////////////////////////////////
//
Programacin y habilitacin de Interrupciones
//
//
//
////////////////////////////////////////////////////////////////////////////////
ext_int_edge (L_TO_H);
setup_timer_1(T1_INTERNAL|T1_DIV_BY_1);
// Definir actividad del TIMER 1
set_timer1 (TIMER1_VAL);
// Cargar el valor de cuenta de TIMER
enable_interrupts(INT_TIMER1);
// Activar interrupciones TIMER 1
enable_interrupts(INT_SSP);
// Activar interrupciones I2C
enable_interrupts(GLOBAL);
// Activar interrupciones globales
enable_interrupts(INT_RDA);
// Activar interrupciones de RS23
while (true)
{
if (TIM1Flag)
{
TIM1Flag = FALSE;
AnaACQ.Data[3]+=read_adc(ADC_READ_ONLY);// Leer y acumular la ltima conversin
activada del Micro
delay_us (10);
// Esperar 10us hasta la siguiente instruccin
for (i=0; i<Last_channel+1; i++)
{
AnaACQ.Data[i]+=read_CPLD(i);// Leer y acumular la ltima conversin activada para
cada canal
}
AnaACQ.mean++;
write_CPLD (SOC, 0);
// Iniciar la conversin A/D en los tres convertidores
read_adc (ADC_START_ONLY);
// Iniciar la conversin A/D del Micro
}
if (kpEEPROM_OK)
//Si la Bandera de recepcin de un nuevo valor de constante
{
//es TRUE actualizar el mismo en la variable
kp=search_varEE(kp_index);
kpEEPROM_OK=FALSE;
}
if (kiEEPROM_OK)
//Si la Bandera de recepcin de un nuevo valor de constante
{
//es TRUE actualizar el mismo en la variable
ki=search_varEE(ki_index);
kiEEPROM_OK=FALSE;
}
if (kdEEPROM_OK)
//Si la Bandera de recepcin de un nuevo valor de constante
{
//es TRUE actualizar el mismo en la variable
kd=search_varEE(kd_index);
kdEEPROM_OK=FALSE;
}
if (send_constoPC)
//Envia las constantes del PID a la PC
{
chktoPC=0;
send_constoPC=FALSE;
send_float(kp);
//envia el float
send_float(ki);
//envia el float
send_float(kd);
//envia el float
chk1=calc_chksum(kp);
chk2=calc_chksum(ki);
chk3=calc_chksum(kd);
chktoPC=chk1+chk2+chk3;
putc(chktoPC);
//envia el chksum
}//fin del envio de constantes a la PC
if (input(PIN_B0))// Si hubo una interrupcin de 60Hz no servida, restaurar el CPLD
{
read_CPLD(Stat);
for (i=0; i<Last_channel+2; i++)
// Actualizar los valores medidos
{
AnaDATA.Data[i]=Scale[i]*(float)AnaACQ.Data[i]/AnaACQ.mean+Offset[i]; // Obtener el
valor promedio de la medicin de los ADC
AnaACQ.Data[i]=0;
// Restaurar a cero el promedio
}
ioutPC=AnaDATA.Data[0]; // Guardar el valor de vrec en la variable iout
voutPC=AnaDATA.Data[1]; // Guardar el valor de vrec en la variable vout
vrec_pid=AnaDATA.Data[2];// Guardar el valor de vrec en la variable vrec_pid
tempPC=AnaDATA.Data[3]; // Guardar el valor de vrec en la variable temp
AnaACQ.mean=0;
// Restaurar a cero el contador de promediado

104
if (PID_Flag)
//Clculo del PID
{
write_CPLD (AlfaLoad, alfa1);
//carga el ltimo valor del alfa1
error_act=(difIGBT-(vrec_pid-voutPC))/Vmax;//calcula el error actual y lo normaliz
error_t+=error_act;
//acumula el error
pid=error_act*kp+error_t*ki+(error_act-error_ant)*kd;
//calcula el pid
if(pid>PIDmax)
//si el pid es >Vmax lo limita a alpha_max
pid=PIDmax;
if(pid<0.00)
//si el pid <0 lo limita a 0
pid=0.00;
alfa1=alpha[(int)pid]; //se asigna a alfa el valor correspondiente segun la tabla
definida en el .h
error_ant=error_act;
//acumula el error actual como el error anterior para el
prximo ciclo
}//fin del PID
if (ChkSum_OK)
// Si hay Checksum vlido
{
ChkSum_OK = FALSE;
if (ALFAsetFlag)
// Si est habilitada la escritura del ngulo de disparo
{
ALFAsetFlag = FALSE;
write_CPLD (AlfaLoad, alfa); // Cargar el valor actual de alfa
}
}//fin del ChkSum
if (sendtoPC)
// Envio a la PC de los datos del status de la fuente
{
send_to_PC();
}//fin del if senf to PC
}//fin del if PIN_B0
if (RS232_in)
//Si hubo una interrupcin de RS232 ejcutese el siguiente codigo
{
short bit7_PC2=FALSE;//Flag que indica si el bit 7 del dato 2 es true o false
RS232_in=FALSE;
//Bajar el flag de RS232_in
chk_calculado=0;
//Limpiar el chksum calcul
for (i=0; i<=5; i++)//calcula el chksum de los datos llegados en la interrupcin
del RS232
chk_calculado+=PC_incoming[i];
if (chk_calculado==PC_incoming[6]
//si no hubo error en la comunicacin
proceder a procesar los bytes
{
//***Rutina para intercambiar los bits de signo entre el formato de float de la PC y
el formato de float del PIC**//
if (bit_test(PC_incoming[3],7))
//si
el bit7 del segundo dato es '1' rota el primer dato y coloca '1'en su bit0
{
bit7_PC2=bit_test(PC_incoming[2],7);
rotate_left(&PC_incoming[2],1);
bit_set(PC_incoming[2],0);
}
else
{
bit7_PC2=bit_test(PC_incoming[2],7);
//si el bit7 del
segundo dato es '0' rota el primer dato y coloca '0'en su bit0
rotate_left(&PC_incoming[2],1);
bit_clear(PC_incoming[2],0);
}
if (bit7_PC2)
//si el bit0 del primer dato era '1' coloca en '1' el bit7
del segundo dato
bit_set(PC_incoming[3],7);
else
//si el bit0 del primer dato era '0' coloca en '0' el bit7 del segundo dato
bit_clear(PC_incoming[3],7);
for (i=0; i<=3; i++)
* ( &float_in + i )=PC_incoming[i+2];
//Llena las
posiciones de memoria de float_in con el contenido de PC_incoming[] para armar el float
switch (PC_incoming[1])
{
case 0x50:
Write_Data2EEPROM('p');
//Escribe en la EEPROM el valor de la constante kp
kpEEPROM_OK=TRUE;
break;
case 0x49:
Write_Data2EEPROM('i');
//Escribe en la EEPROM el valor de la constante ki
kiEEPROM_OK=TRUE;
break;
case 0x44:

105
Write_Data2EEPROM('d');
//Escribe en la EEPROM el valor de la constante kd
kdEEPROM_OK=TRUE;
break;
case 0x56:
vrec_set=float_in;
//Asigna el float entrante a la constante vrec_set
if (vrec_set > Vmax)
vrec_set = Vmax;
//Si es mayor a 190 o menor a 0 limita el vrec_set
if (vrec_set < 0.00)
vrec_set=0.00;
break;
case 0x47:
gen=float_in; //caso general para uso posteriores
sendtoPC=TRUE;
break;
default:
break;
}//fin del switch
}//fin del if que chequea el chksum
}//fin del if RS232
if (I2CRXFlag)
{
I2CRXFlag = FALSE;
// Si se termin una secuencia de transmisn de I2C
if (ChkSum_OK)
// Si hay Checksum vlido
ChkSum_OK = FALSE;
{
if (VsetFlag)
// y si est habilitada la escritura de tensin
{
VsetFlag = FALSE;
if (PS_ONFlag)
// Si la salida de la fuente de tensin est activada
{
Vout = (long)(Vset/Vmax*Convertion_precision);
// Convertir el valor
en un nmero de 12 bits y cargarla en la variable de salida
//
vrec_set=Vset;
//carga el valor de Vout en
vrec_set para controlar la el voltahje a la salida del rectificador
write_DAC(V_DAC, Vout);
//escribe el numero de 12 bits en los D/A si esta habilitada la salida del IGBT
//
PID_Flag = TRUE;
//Habilita el PID para retomarlo luego de una modificacin manual del alpha
}
else
{
//
Vout = 0;
// cargar con 0 la variable de salida
write_DAC(V_DAC, Vout);// y escribirlo en el convertidor D/A
}
}
else if (IsetFlag)// Si est habilitada la escritura de corriente
{
IsetFlag = FALSE;
Iout = (long)(Iset/Imax*Convertion_precision);
// Convertir el valor
en un nmero de 12 bits
write_DAC(I_DAC, Iout);
// y escribirlo en el convertidor D/A
}
}
}
}
}
#INT_TIMER1
void Timer1_INT()
{
set_timer1(TIMER1_VAL);// Coloca la interrupcin del timer 1 en (1/INT_PER_SECOND) us
TIM1Flag = TRUE;
}
#INT_SSP
void ssp_interupt()
{
int incoming;
static int TX_index, RX_index, TX_sum, RX_sum, DataCount;
if (i2c_poll() == FALSE) // i2c_poll() returns false on the interrupt receiving
{
// the second command byte for TX operation
output_toggle(PIN_A1); // Mostar actividad de transmisin
if (TX_index<TX_Bytes)
{
TX_sum += AnaDATA.TXData[TX_index];
// Calcular el Checksum a medida
que se vayan transmitiendo los datos
i2c_write(AnaDATA.TXData[TX_index++]);
}
else if (TX_index++ == TX_Bytes)

106
{
i2c_write (TX_sum);
// Enviar el Checksum
}
else
{
fState = Idle;
//
TX_index = 0;
// Asegurar que cuando llega una direccin vlida,
TX_sum = 0;
// tanto el contador de transmisin como el checksum valen 0
I2CRXFlag = TRUE;
// Habilitar la actualizacin de los valores de los convertidores
}
}
else
{
output_toggle(PIN_A4);
// Mostrar actividad de Recepcin
incoming = i2c_read();
if (fState == Idle)
{
fState = DevAddress;
RX_index = 0;
// Asegurar que cuando llega una direccin vlida,
RX_sum = 0;
// el checksum valga 0
}
else if (fState == DevAddress)
// Ya lleg la direccin vlida
{
RX_sum += incoming; // Acumular el checksum a medida que vayan llegando los datos
DataCount = incoming;
// Actualizar la cantidad de bytes a recib
fState = Lenght;
// y esperar por el comando
}
else if (fState == Lenght)
// Ya lleg el comando
{
RX_sum += incoming; // Acumular el checksum a medida que vayan llegando los datos
switch (incoming)
// Despachar a la rutina correspondiente
{
case 'R':
// Prueba decomunicacin
fState = CHKSum;
break;
case '0':
// Orden de apagado de la fuente
PS_ONFlag = FALSE;
VSetFlag = TRUE;
// Permitir escritura en el DAC de tensin
fState = CHKSum;
//vrec_set=0.00;
//coloca el set de voltaje del rectificador en 0.00
break;
case '1':
// Orden de encendido de la fuente
PS_ONFlag = TRUE;
VSetFlag = TRUE;
// Permitir escritura en el DAC de tensin
fState = CHKSum;
break;
case 'A':
fState = A;
break;
case 'V':
fState = Volt;
RX_index = 0;
break;
case 'I':
fState = Curr;
RX_index = 0;
break;
default:
output_toggle (PIN_A5);
// Recepcin de comando desconocida
break;
}
}
else if (fState == A)
{
RX_sum += incoming; // Acumular el checksum a medida que vayan llegando los da
alfa = incoming;
ALFASetFlag = TRUE;
fState = CHKSum;
}
else if (fState == Volt)
{
if (RX_index < DataCount)
// Esperar todos los datos menos el Checksum
{
RX_sum += incoming;// Acumular el checksum a medida que vayan llegando los datos
*(&Vset + RX_index++) = incoming// Ir actualizando los valores de consigna de
tensin
}
else
{
VSetFlag = TRUE;

107
fState = CHKSum;
}
}
else if (fState == Curr)
{
if (RX_index < DataCount)
// Esperar todos los datos
menos el Checksum
{
RX_sum += incoming;
// Acumular el
checksum a medida que vayan llegando los datos
*(&Iset + RX_index++) = incoming;
// Ir actualizando los valores de
consigna de Corriente
}
else
{
ISetFlag = TRUE;
fState = CHKSum;
}
}
else if (fState == CHKSum)
{
if (RX_sum == incoming)
ChkSum_OK = TRUE;
else
ChkSum_OK = FALSE;
fState = Idle;
}
}
}
#INT_DEFAULT
// Si lleg una interrupcin no definida, atenderla y activar el bit E2
void Default_INT()
{
output_high (PIN_E2);
output_low (PIN_E2);
}
#INT_RDA
// Interrupcin del RS232
void char_rec_INT()
{
data_in=getchar();
// Guadar en data_in el byte que ha llegado
if (num_data > 0)
// Si ya ha llegado un dato ejecutese este if
{
PC_incoming[num_data]=data_in; // A medida que van llegando los datos se va llenando el
arreglo
data_in=0;
// Limpia data_in
num_data++;
// Incrementa el indice del arreglo
if (num_data==7)
// Si el arreglo ya esta lleno se inicializa el
indice y se levanta la bandera de que han llegado todos los datos
{
num_data=0;
RS232_in=TRUE;
}
}
if (num_data==0 && data_in==0x04) // Si el primer dato que llega es el byte de cantidad
{
PC_incoming[num_data]=data_in; // Se guarda el byte de cantidad de datos en el arreglo
num_data++;
// Se incrementa el indice
}
if (num_data==0 && data_in=='V')
sendtoPC=TRUE; //si el primer dato q llega es K activar el envio de datos a la PC
if (num_data==0 && data_in=='O')
sendtoPC=FALSE;
// Si el primer dato que llega es O desactivar el envio a la PC
if (num_data==0 && data_in=='R')
send_constoPC=TRUE;// Si el primer dato que llega es R enviar las constantes kp,ki,kd a
la PC
}//fin de la interrupcin
long int read_CPLD (int select)
{
union DataIN Data;
// Definir la estructura de entrada
set_tris_B(CPLD_READ);
// Adecuar Puerto B para lectura
port_B.sel = select;
// Seleccionar tipo de Lectura
output_bit (RD, 1);
// Habilitar Lectura (RD<--1)
Data.input[0] = input_D(); // Leer el puerto D, correspondien los 8bits menos significativos
Data.input[1] = port_B.data;// Leer el puerto B, conteniendo a lo 4 bits mas significativos
output_bit (RD, 0);
// Deshabilitar Lectura (RD<--0)
return (Data.Result);
}
void write_CPLD (int select, int write_data)
{
port_B.sel = select;
// Seleccionar tipo de escritura

108
output_D(write_data);
output_bit (WR, 1);
output_bit (WR, 0);
set_tris_D(0xFF);
}
void write_DAC (short select, long int write_data)
{
disable_interrupts (GLOBAL);
evitar data falsa

//
//
//
//

Escribir los 8 bits en D,


Habilitar Escritura (WR<--1)
Deshabilitar escritura (WR<--0
Colocar D como entrada

// Deshabilitar interrupciones para

output_D(MAKE8(write_data, 0));// Escribir los 8 bits menos significativos del valor en D


set_tris_B(CPLD_WRITE);
// Colocar los 4 bits mas significativos de B como s
port_B.data = MAKE8(write_data, 1)// y escribir los 4 bits mas significativos del valor en
el NIBBLE alto de B
if (select)
// Seleccionar escritura para el DAC de voltaje
{
output_bit (SEL_V_DA, 0);
output_bit (SEL_I_DA, 1);
}
else
// Seleccionar escritura para el DAC de corrient
{
output_bit (SEL_V_DA, 1);
output_bit (SEL_I_DA, 0);
}
output_bit (SEL_V_DA, 1);
/ Desactivar escritura del DAC de voltaje
output_bit (SEL_I_DA, 1);
// Desactivar escritura del DAC de corriente
port_B.sel = DALoad;
/ Activar la lnea de carga de los DACS
output_bit (WR, 1);
Habilitar Escritura (WR<--1)
output_bit (WR, 0);
// Deshabilitar escritura (WR<--0)
set_tris_D(0xFF);
// Colocar D,
set_tris_B(CPLD_READ);
// y los 4 bits mas significativos de B como entrada
enable_interrupts (GLOBAL);
// Reestalecer interrupciones
}
void Write_Data2EEPROM (char tipo)//escribe en la EEPROM segun la variable que se le indique
{
int i;
if (tipo=='p')// si es P la variable guarda 4 bytes consecutivos a partir del indice dado
{
for (i=kp_index; i<=kp_index+3; i++)
write_eeprom(i,PC_incoming[i+2]);
}
if (tipo=='i')
{
for (i=ki_index; i<=ki_index+3; i++)
write_eeprom(i,PC_incoming[i-ki_index+2]);
}
if (tipo=='d')
{
for (i=kd_index; i<=kd_index+3; i++)
write_eeprom(i,PC_incoming[i-kd_index+2]);
}
}//fin del write_data
void send_float(float data)
//envia el float a la PC
{
int i;
for (i=0; i<=3; i++)
putc(*(&data + i));
//envia los 4 byte del float
}
float search_varEE(char index)
//Busca segun el indice el float en la EEPROM
{
float num=0.00;
int i;
for (i=index; i<=index+3; i++)
//Busca los valore del float guardados en la EEPROM y
actualiza dichas variables
*(&num + (i - index))=read_EEPROM(i);
return(num);
}
char calc_chksum(float num1)
//calcula el chksum de los 4 bytes del float
{
int i;
char chk=0;
for (i=0; i<=3; i++)
chk+=*( &num1 + i );
return(chk);
}
void send_to_PC()//Envia el status de la fuente a la PC "pasado a funcion para aligerar el
segmento del PIC"
{
chktoPC=0;
//se blanquea el chksum q va a la PC
putc(0x16);
//envia el byte cantidad
putc(0x56);
//envia el byte tipo

109
send_float(vrec_pid);
send_float(voutPC);
send_float(tempPC);
send_float(ioutPC);
chk1=calc_chksum(vrec_pid);
chk2=calc_chksum(voutPC);
chk3=calc_chksum(tempPC);
chk4=calc_chksum(ioutPC);
chktoPC=chk1+chk2+chk3+chk4+0x16+0x56;
putc(chktoPC);
}

//calcula el
//calcula el
//calcula el
//calcula el
//calcula el chksum

//envia el float
//envia el float
//envia el float
//envia el float
chksum parcial de este float
chksum parcial de este float
chksum parcial de este float
chksum parcial de este float
final y lo envia a la PC

9.3. Tablas de Calibracin de los Instrumento

Multimetro Fluke

Multimetro Tektronix

Patron 5520A[V]

Pinza Amp. Fluke

Patrn 5520A[A]

4,999
10
15
20
25
29,99
34,99
39,9
44,99
49,99
54,99
59,99
64,99
70
75
80
85
90
95
100

4,993
9,98
14,97
19,97
24,96
29,95
34,94
39,94
44,93
49,92
54,9
59,9
64,9
69,9
74,9
79,9
84,9
89,9
94,8
99,8

5
10
15
20
25
30
35
40
45
50
55
60
65
70
75
80
85
90
95
100

1,01
2,01
3,02
4,03
5,04
6,05
7,05
8,11
9,11
10,15
11,16
12,23
13,23
14,26
15,22
16,24
17,27
18,25
19,27
20,3

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20

105
10

104,8
109,8

105
110

115

114,8

115

Variacin Volt

0,005%

120

119,8

120

Variacin Amp

0,05%

125
130
135
140
145
150
155
160
165
170
175
179,9

124,8
129,8
134,8
139,8
144,8
149,8
154,7
159,7
164,7
169,7
174,7
179,7

125
130
135
140
145
150
155
160
165
170
175
180

Tabla 9.1: Calibracin de los instrumentos.

110

9.4. Hoja Tcnica del Codificador ptico

111

Você também pode gostar