Você está na página 1de 17

UNIVERSIDAD NACIONAL MAYOR DE

SAN MARCOS
(Universidad del Per, DECANA DE AMRICA)

FACULTAD DE INGENIERA ELECTRNICA Y ELCTRICA


E.A.P. INGENIERA ELECTRNICA
Laboratorio de Circuitos Digitales I Experiencia N6

CIRCUITOS MULTIPLEXORES Y
DEMULTIPLEXORES
Profesor

Ing. Oscar Casimiro Pariasca


Estudiantes
Cdigo

EGOAVIL BONIFACIO RICHARD


MENDOZA ROSADO VICTOR
RAMIREZ MONTES LUIS

14190010
14190128
14190021

Horario

Martes 14 16 hrs.

Ciudad Universitaria, 18 de junio del 2016

OBJETIVO
1

Analizar, disear y construir circuitos lgicos combinacionales tales


como multiplexores, demultiplexores y sus aplicaciones.

MATERIALES Y EQUIPO:

CI. TTL: 74LS00, 74LS02, 74LS04, 74LS08, 74LS47 o 74LS48,


74LS139, 74LS151, 74LS153, 74LS155. 74LS157 (Escoja los que va

a utilizar).
8 Diodos LED.
8 Resistencias R=120 ohm, watt.
2 display de nodo comn.
Protoboard.
Alambre slido AWG No. 22 diferentes colores o cable UTP.
Pelador de alambre, alicate de punta.
Fuente de Voltaje C.C. regulada de 5 Voltios.
Multmetro.

RESUMEN TERICO

LOS MULTIPLEXORES

Los multiplexores son circuitos combinacionales con varias entradas y


una salida de datos, y estn dotados de entradas de control capaces
de seleccionar una, y slo una, de las entradas de datos para permitir
su

transmisin

desde

la

entrada seleccionada a la
salida que es nica.

La

entrada

seleccionada

viene determinada por la combinacin de ceros (0) y unos (1) lgicos


en las entradas de control. La cantidad que necesitaremos ser igual
a la potencia de 2 que resulte de analizar el nmero de entradas. As,
por ejemplo, a un multiplexor de 8 entradas le correspondern 3 de
control.
Podemos decir que la funcin de un multiplexor consiste en
seleccionar una de entre un nmero de lneas de entrada y transmitir
el dato de un canal de informacin nico. Por lo tanto, es equivalente
a un conmutador de varias entradas y una salida.
Dentro

de un multiplexor hay que destacar


tres tipos de seales: los datos de
entrada,

las

entradas

de

control y la salida
El diseo de un multiplexor se realiza de la misma manera que
cualquier sistema combinatorio desarrollado hasta ahora. Veamos,
como ejemplo, el caso de un multiplexor de cuatro entradas y una
salida que tendr, segn lo dicho anteriormente, dos entradas de
3

control. Esta tabla de verdad define claramente cmo, dependiendo


de la combinacin de las entradas de control, a la salida se transmite
una u otra entrada de las cuatro posibles. As:

CONTROL

ENTRADAS
DATOS

SALIDA

AB

I0 I1 I2 I3

00

0XXX

00

1XXX

01

X0XX

01

X1XX

10

XX1X

10

XXX0

11

XXX0

11

XXX1

Si deducimos de esta tabla de verdad la expresin booleana que nos


dar la funcin salida, tendremos la siguiente ecuacin:
S = (/A*/B*I0) + (/A*B*I1) + (A*/B*I2) + (A*B*I3)

Con la que podremos disear nuestro circuito lgico.


La estructura de los multiplexores es siempre muy parecida a esta
que hemos descrito, aunque a veces se aade otra entrada
suplementaria de validacin o habilitacin, denominada strobe o
enable que, aplicada a las puertas AND, produce la presentacin de
la salida.

LOS DEMULTIPLEXORES
Una

de

las

aplicaciones

ms

caractersticas de los decodificadores


era su transformacin en los circuitos
digitales

denominados

demultiplexores.
Un

demultiplexor

consta

de

una

entrada de datos, varias seales de control y las lneas de salida


El demultiplexor es un circuito destinado a transmitir una seal
binaria a una determinada lnea, elegida mediante un seleccionador,
de entre las diversas lneas existentes. El dispositivo mecnico
equivalente a un demultiplexor ser un conmutador rotativo unipolar,
de

tantas

posiciones

como

lneas

queramos

seleccionar.

El

seleccionador determina el ngulo de giro del brazo del conmutador.

La analoga mecnica de un demultiplexor es un selector con una


entrada y varias posiciones de salida
Un

decodificador

se

convierte

en

demultiplexor

aadindole

una

seal

circuitera

ms
interna.

un

su

Si

se

aplica esta seal, la salida ser el complemento de dicha seal, ya


que la salida es 0 si todas las entradas son 1, y aparecer nicamente
en la lnea seleccionada.
Se puede aplicar a un demultiplexor una seal de habilitacin o
"enable", conectndose en cascada el decodificador con el circuito
compuesto de una puerta AND y dos puertas NOT cuyas entradas son
la seal de habilitacin y el dato que queremos transmitir.
Si la entrada de habilitacin es 0, la salida ser el complemento del
dato, es decir, que el dato aparecer en la lnea con el cdigo
deseado. Si la entrada de "enable" es 1, la salida ser 0, se inhiben
los datos en cualquier lnea y todas las entradas permanecen en 1.
Veamos, de otra manera, en qu consiste la funcin de un circuito
demultiplexor. Estos son circuitos que realizan una funcin contraria a
la de los multiplexores, es decir, tienen una nica entrada de datos
que, mediante unas entradas de control, se pone en comunicacin
7

con una de entre varias salidas de datos. La salida concreta


seleccionada

depende

de

la

combinacin

de

valores

lgicos

presentada en las entradas de control.


De la definicin ya se desprende que cualquier decodificador que
excite slo una salida entre varias, y est provisto de entrada de
inhibicin o "enable", puede utilizarse como demultiplexor, ya que las
entradas del cdigo se pueden emplear como entradas de control y la
seal de inhibicin como entrada de datos.
Por el contrario, los decodificadores del tipo BCD a 7 segmentos que
dan varias de sus salidas para
cada combinacin de entrada, no
pueden

ser

utilizados

como

demultiplexores.
En la prctica, no existen circuitos
integrados

demultiplexores,

sino

que

se

fabrican

circuitos

decodificadores/demultiplexores, que en realidad son decodificadores


con entrada de inhibicin ("enable" o "strobe"). En la figura se
muestra

la

construccin

mediante

puertas

lgicas

de

un

decodificador/demultiplexor de 2 a 4 lneas.
A continuacin, veremos el funcionamiento de un decodificador como
demultiplexor. Suponemos que se ha representado una combinacin
de entradas, como por ejemplo 1 0 1, es decir, A /B C, y con ellas se
selecciona la salida nmero 5. Cuando se ponga 1 en la entrada de
8

"enable" se tendr 1 en la salida 5, y cuando se ponga 0 en la seal


de "strobe" aparecer 0 en 5, es decir, que la salida sigue a la entrada
de datos y sta es, precisamente, la funcin del demultiplexor.
PROCEDIMIENTO
Implementar experimentalmente el multiplexor de display mostrado.

Con este circuito se pretende que el alumno entienda el significado de


la multiplexacin de un canal de datos. Se necesitan utilizar cuatro
multiplexores 2 a 1 como los que implementa el 74LS157. Tambin es
necesario generar una seal cuadrada de 0 a 5 V que introduciremos
por la entrada de seleccin de datos G. No se debe olvidar habilitar
los integrados de forma conveniente:
Indicar a que frecuencia los displays no muestran ningn tipo
de parpadeo (frecuencia nominal de trabajo)

Indicar

el

rango

de

frecuencia

mximo

mnimo

de

funcionamiento
Comprobar

su

funcionamiento

adecuado

para

varias

combinaciones de nmeros en BCD.

10

11

CUESTIONARIO FINAL

1. Presente las simulaciones y comentarios de los circuitos


verificados en la parte experimental.

La simulacin cada uno lo presentamos en junto con el informe


previo.
2. Dada la funcin de 4 variables:

F(A, B, C, D) = A B + A C (/D) + BC + C D.
Se pide:
a) Disear la funcin utilizando el decodificador 4 a 16 con salidas
activas en bajo (74LS154)

b) Aadir en cada caso las puertas lgicas adicionales mnimas


que se consideren necesarias.

12

c) Disear la funcin utilizando un multiplexor comercial y puertas


lgicas adicionales.

3. Explique el funcionamiento del circuito multiplexor mostrado en la


figura. Presente la simulacin del funcionamiento con un mux
comercial

Se pueden combinar multiplexores entre s para obtener una


multiplexacin de un gran nmero de entradas.

13

En la figura se muestra un MUX de 16x1 y su equivalente con la


utilizacin de 5 MUX de 4x1. Note que los selectores deben
acomodarse para seleccionar la entrada adecuada.
4. Presente una aplicacin de un multiplexor y de un demultiplexor.

5. La funcin de un multiplexor da lugar a diversas aplicaciones.

a. Serializador: Convierte datos desde el formato paralelo


al formato serie.

b. Transmisin multiplexada: Utilizando las mismas lneas


de conexin, se transmiten diferentes datos de distinta
procedencia.

c. Realizacin

de

funciones

lgicas:

Utilizando

inversores y conectando a 0 1 las entradas segn


interese, se consigue disear funciones complejas, de un
modo ms compacto que con las tradicionales puertas
lgicas.

Aplicaciones de los demultiplexores


La transferencia de informacin es una operacin bsica en
cualquier sistema digital. Aunque los detalles internos del
registro, la forma en que se transfiere la informacin desde el
14

exterior al registro y cmo sale de ste hacia el exterior, sern


estudiados en su tema correspondiente, consideraremos en
este caso la utilizacin de multiplexores y demultiplexores en el
proceso de transferencia entre registros.
Una de las aplicaciones es la transferencia de datos
desde un registro
Segn el valor de la seal de control, se selecciona qu entrada
pasa a la salida del multiplexor. Cuando se aplique el pulso de
transferencia al registro, dicha seal de salida pasa al registro.

15

CONCLUSIONES

Se pudo observar que la frecuencia mnima para que deje


de parpadear es de 40 Hz y la frecuencia mxima es de 600kHz.

Esta prctica nos demostr el funcionamiento de un


multiplexor el cual permite seleccionar uno de todos los datos
que entran y mostrarlo a la salida, esto lo llevamos a cabo
gracias a la combinacin de 1 y 0 que controlan nuestro circuito
(Controladores)

La finalidad de los multiplexores es convertir varias


entradas en una sola salida, dicha salida toma como respuesta
aquel valor de entrada de seleccin de datos. Las entradas de
informacin se enumeran en orden decimal desde 0 hasta el
valor del nmero de entradas existentes. Este se encarga en
seleccionar

los

datos

de

la

salida

dependiendo

de

las

condiciones que posea el circuito.

16

BIBLIOGRAFA

https://es.wikipedia.org/wiki/Multiplexor
http://educativa.catedu.es/44700165/aula/archivos/repositorio/475

0/4923/html/4_multiplexores.html
http://www.angelfire.com/al2/Comunicaciones/Laboratorio/multiple.
html

17

Você também pode gostar