Você está na página 1de 8

Photolithography

21

Another problem that may be experienced is that of reective proximity


effects, in which a slope in the substrate reects incident light horizontally into
the resist. This can interfere with exposure of the resist in an adjacent area leading
to overexposure.
Antireective coatings are available from suppliers of photolithographic
chemicals, and these are the solution of rst resort in cases in which reected
light causes a problem.

1.2.2 SHADOW MASKS


An alternative to chrome on quartz masks is the use of stencils. Commonly, these
would be laser-cut stainless steel stencils that are used in printed circuit board
(PCB) manufacture. These are termed shadow masks and have two applications.
The rst is in certain thin-lm deposition processes, notably sputtering and
evaporation, in which the mask is clamped over the face of the substrate. The
deposition process covers the entire surface so that when the mask is removed,
unwanted material goes with it, leaving a stenciled pattern on the substrate. The
second use, obviously, is in photolithography.
Shadow masks cannot be used to achieve very high precision or small feature
sizes. An additional problem with the use of stainless steel, particularly in deposition processes that develop heat, is that its dimensions change because of
thermal expansion, giving rise to blurred edges. Cutting masks from alloys such
as invar can reduce this problem. The main advantage of using shadow masks,
however, is their low cost.
Tolerance Examples for Laser-Cut Stencils

Stainless steel, 0.1- to 0.2-mm thick


Design resolution, 0.5 m
Precision, 10 m
Pitch (spacing between pads), 0.3 mm

Although a very small design resolution is quoted, the 10-m precision


limits the design minimum feature size. The pitch will be given for component
pads on a PCB. Note that because this is a stencil, holes can be smaller than
the spacing between them, and the designer has to consider mechanical support
and stability across the design. Sub-100-m holes may be achievable, but larger
spaces (at least 100 m) should be left between them.

1.2.3 PHOTORESISTS

AND

RESIST PROCESSING

The aim of the exercise is to produce controlled and repeatable proles in the
developed photoresist. The ideal prole has vertical sidewalls as shown in Figure 1.9a.
For some applications, it may be desirable to employ different resist proles; one
of the most useful of these is the undercut prole for liftoff processing (see
Copyright 2006 Taylor & Francis Group, LLC

22

Microengineering, MEMS, and Interfacing: A Practical Guide

(a)

(b)

FIGURE 1.9 Photoresist proles: (a) the ideal with near-vertical walls, this prole would
be slightly narrower at the top than the bottom; (b) undercut prole required for the liftoff process.

section 2.6.4), as in Figure 1.9b. The optics, resist chemistry, and resist
processing steps combine to produce the desired sidewall prole.
1.2.3.1 Photoresists
A photoresist is normally supplied in liquid form. Most resists consist of two
chemical components in an organic solvent. The rst component is sensitive to
light. The chemical products resulting from exposure of this component to light
drive a polymerization in the other resist component. The developing process
then removes the unpolymerized resist in the case of negative resists. In the case
of positive resists, the result of exposure is usually that the second component in
the exposed areas becomes more soluble in the developer. Generally,
polymerization and development in negative resists are accompanied by
dimensional changes that limit the resolution of the process more than for
positive resists. However, there are now a variety of specialized chemistries
available for both positive and negative resists, providing the engineer with a
range of different options. Table 1.3 lists some photoresists that are popular for
microengineering and MEMS. Table 1.4 lists some photoresist suppliers.
Desirable Properties of Photoresists for Microengineering
1.
2.
3.
4.
5.
6.
7.

Good resolution
Good adhesion to the substrate
Resistance to etching processes
Resistance to other micromachining processes (e.g., electroplating)
Ability to coat nonplanar topographies
Ability to apply and process thick coatings (5 m to more than 100 m)
Mechanically resilient

Items 1 to 3 are general for any photoresist. Items 4 to 7 are more specic to
micromachining, with items 5 and 6 being of particular interest when the resist
is to be used as a structural component of the design.
Copyright 2006 Taylor & Francis Group, LLC

Photolithography

23

TABLE 1.3
Popular Photoresists for Microengineering
Resist
SU-8

Sourcea
MCC

+/

SJR5740

S1800
AZ4562
AZ9260
AZ5214

S
AZ
AZ
AZ

+
+
+
+

Features
Epoxy-based resist, 2200 m thickness, very
resilient, can be difcult to remove, excellent
structural resist, adhesion promoters not
normally required, image reversal possible,
near-UV 350400 nm
High-aspect-ratio positive resist up to >20 m
thickness, broadband resist, good for
electroplating
Good general-purpose positive resists, 0.53 m
Thick positive resist
Thick positive resist
Image-reversible positive resist

+/ Signies a positive or negative resist

Source: MCC: MicroChem Corp., Newton, MA (www.microchem.com); S: Shipley (Rohm &


Haas), Marlborough, MA (electronicmaterials.rohmhaas.com); AZ: Clariant Corp., Somerville,
NJ (www.azresist.com).

TABLE 1.4
Some Photoresist Suppliers
MicroChem Corp., 1254 Chestnut Street, Newton, MA 02464, USA.
Shipley: Rohm and Haas Electronic Materials, 455 Forest Street, Marlborough, MA 01752, USA.
electronicmaterials.rohmhaas.com
Clariant Corp. AZ Electronic Materials, 70 Meister Avenue, PO Box 3700, Somerville, NJ 08876,
USA.
www.azresist.com
Wacker-Chemie GmbH, Hanns-Seidl-Platz 4, 81737 Munich, Germany.
www.wacker.com
GELEST, 11 East Steel Road, Morrisville, PA 19067, USA.
www.gelest.com (for PDMS)
Dow Corning, Midland, MI, USA.
www.dowcorning.com
SHE: Shin Etsu, 6-1, Ohtmachi 2-chome, Chiyoda-ku, Tokyo 100-0004, Japan.
www.shinetsu.co.jp
Futurrex Inc., 12 Cork Hill Road, Franklin, NJ 07416, USA.
www.futurrex.com
Eastman Kodak Company PCB Products, 343 State Street, Rochester, NY 14650-0505, USA.
www.kodak.com

Copyright 2006 Taylor & Francis Group, LLC

24

Microengineering, MEMS, and Interfacing: A Practical Guide


(a)

(b)

(c)

(d)

(e)

(f )

(g)

(h)

FIGURE 1.10 Steps in photoresist processing (not to scale): (a) clean substrate with lm
to be patterned, (b) spin-coat with resist, (c) soft-bake on a hot plate, (d) expose, (e)
postexposure-bake, (f) develop, rinse, and hard-bake, (g) pattern substrate, (h) resist-strip.

1.2.3.2 Photoresist Processing


Presented in Figure 1.10 is a generic outline for photoresist processing, which
proceeds as follows:
Clean
Apply primer or adhesion promoter*
Coat
Soft-bake
Expose
Postexposure-bake*
Develop
Rinse*
Hard-bake*
Pattern substrate*
Strip*
The outline has been based on the slightly more complicated SU-8 guidelines
produced by MicroChem Corp [5,6], and some of the steps, marked *, are optional
Copyright 2006 Taylor & Francis Group, LLC

Photolithography

25

or not required for some resists. For example, SU-8 does not normally require
adhesion promoters, positive resists do not normally require a postexposure-bake,
the rinse step may be included in recommendations for developing, and the hardbake may be replaced by a drying step (the hard-bake would ensure completion of
polymerization in negative resists and would particularly be employed if the resist
were to be a structural component in the design). If the resist is to be a structural
component, the normal pattern substrate and strip steps would be omitted.

The Yellow Room


Photoresist processing is normally carried out in a special clean room known
as the yellow room or yellow area because of the yellow lighting that is
employed. This is to reduce the chance that the external lighting would age or
affect the photoresist.

1.2.3.2.1 Cleaning the Substrate


Within the clean room, there are a number of common facilities and procedures
for cleaning substrates prior to and during processing. These are normally wet
processes, involving liquid solvents. An outline of these are:

Organic solvents
Acetone
Isopropyl alcohol (aka IPA, propanol, propan-1-ol)
Deionized (DI) water (ltered to remove particles)
Corrosive cleaning processes
Piranha etch/clean
RCA clean
Drying processes
Nitrogen
Oven (may be supplied with vacuum)
Hot plate
Ultrasonic bath

Acetone is a very common organic solvent and can be used to clean wafers
of a variety of contaminants, including the less stubborn photoresists. The substrate is easily dried off with a jet of nitrogen gas. Acetone will leave residual
marks on the wafer, which can be rinsed off in IPA, another volatile organic that
can be dried off with nitrogen. Acetone and IPA may well be adequate for the
nal cleaning, but during processing it is undesirable to have organic contamination on the surface of the wafer. Therefore, cleaning steps normally end with
a rinse in deionized (DI) water. This has to be dried off on a hot plate or in an
oven. Note that intersolvent drying is not required when transferring from one
liquid solvent to the next.
Copyright 2006 Taylor & Francis Group, LLC

26

Microengineering, MEMS, and Interfacing: A Practical Guide

One of the most convenient DI water facilities to have is a continuous ow


through a tank, with water spilling from one reservoir to the next. This is normally
monitored for pH or conductivity. In this way, it is possible to determine when
acid (or alkaline) etchants have been fully rinsed from the substrate.
Another common facility is the ultrasonic cleaning bath (also referred to as
megasonic when high-frequency ultrasound, above about 800 kHz, is employed).
This provides a mechanically assisted cleaning process. The ultrasound induces
cavitation, small bubbles, in the solvent. These collapse, releasing mechanical
energy. This can facilitate the access of solvents to deep narrow holes (it is also
used to enhance etching of such structures), as well as assisting mechanically
in the removal of stubborn contaminants (e.g., burnt-on resist). Beware, however, because this is a mechanical process, it can cause damage to delicate
microstructures.
Finally, when it comes to mechanical assistance, do not forget the existence
of automatic (magnetic) stirrers or the simple expedient of tipping the petri dish
containing solvent and substrate.
There are two more extreme processes used in the preparation and cleaning
of substrates. Both of these are intended to remove organic and metallic contaminants. Note that the chemicals and procedures involved are very hazardous; if
you carry them out ensure that you follow the local clean room or laboratory
guidelines.
The piranha clean refers to more or less any combination of sulphuric acid
(H2SO4) and hydrogen peroxide (H2O2) and is carried out at high temperatures,
80 to 120C. Composition (H2SO4: H2O2) varies from 50:1 to about 3:1. At the
higher hydrogen peroxide concentrations, the mixture is self-heating. The mixture
has a short shelf life because of the hydrogen peroxide, and so needs to be used
shortly after mixing. It is an oxidizing solution, and may enhance the native oxide
lm over bare silicon. Cleaning times should be short (on the order of 10 min or
so). It is a corrosive mixture, so it may remove more than expected (metals will
be attacked, for example).
The RCA clean was named after the Radio Corporation of America (RCA),
where it was developed. It is composed of three steps, interspersed with DI
rinses and subsequent drying. The rst step is intended to remove organic
contaminants and is performed between 70C and about 100C with a solution
of ammonium hydroxide, hydrogen peroxide, and water (NH4OH : H2O2 : DI,
1:1:5) for about 10 min. The second step is a dip etch in dilute hydrouoric
acid, HF (50:1 HF:DI). This removes a thin layer of oxide in which metal ions
may have accumulated. Finally, ionic and metal contaminants are removed by
a 10-min etch in hydrochloric acid and hydrogen peroxide solution (HCl :
H2O2 : DI, 1:1:6), again at an elevated temperature. Note that this will also
have an adverse effect on non-noble metals (e.g., aluminum, which is a very
commonly used metal for conductors on ICs). Also, be aware that the same
material deposited by two different methods may be affected differently (see
Chapter 2).
Copyright 2006 Taylor & Francis Group, LLC

Photolithography

27

1.2.3.2.2 Applying Photoresists


The goal is to achieve a at even lm of a specic, controlled thickness.
Photoresists are normally applied by spinning. The substrate is mounted
on the chuck of a spinner, a measured volume of resist is dispensed onto the
center of the substrate, and the spinner is accelerated to a predetermined speed.
The substrate is then removed and heated on a hot plate or in an oven to drive
off the solvent from the resist. The data sheet for the particular resist should
provide process details, including spin speeds, and proles for specic lm
thickness.
The rst complication that may be added to this process is the requirement for
priming or application of an adhesion promoter (commonly HMDS hexamethyldisilizane). Second, it may be desirable to remove the bead of resist that can form
around the edge of the substrate. This is normally performed by applying solvent
(edge bead remover) to the edge of the substrate while it is still on the spinner.
A further complication comes in applying thick lms that may be required
either to cover large features (pits, mesas, etc.) on the substrate or as structural
elements themselves. The particular problems relate to achieving an even coverage with high-viscosity resists and drying and developing the resist lm without
cracking or peeling and without trapped gases. A number of the resists listed in
Table 1.3 were specically formulated for this particular problem, and information
can be obtained from the manufacturers data sheets.
Thick-Resist Processing
This has been subject to some discussion on the MEMS e-mail list [7]. The
following have been extracted as ideas to be tried out if attempting to apply
thick resist lms (over difcult topographies):

Close down the lid of the spinner, if available, to prevent premature


evaporation of solvent.
Flood the substrate with thinner prior to applying the resist.
Use a hot plate for baking; alternatively, use a vacuum oven (but
contact the manufacturer for ideas rst most are very helpful).
Use an alternative method for applying resists.

There are several alternative methods by which photoresists may be applied


to a substrate. The rst of these is spraying (e.g., in Reference [8], one source
for the equipment required is EV Group, E Thallner GmbH, Schrding, Austria.
www.evgroup.com). This can cover quite awkward substrates with thick resist
coats.
The next alternative is to apply several coats of resist. Normally the
approach would be to apply, image, and postexposure-bake one layer, then
repeat for the next layer. Final development of different layers then takes place
Copyright 2006 Taylor & Francis Group, LLC

28

Microengineering, MEMS, and Interfacing: A Practical Guide

all in one go. The process does need to be tailored to some extent; it would be
undesirable for the solvent in one layer to interfere with the previously imaged
layer.
Alternative resist technologies are also available. The PCB industry employs
dry-lm solid resists than can be used as structural materials in some MEMS
applications, and a recent development is the electrodeposited resist. These can
be electroplated onto the substrate.
1.2.3.2.3 Postexposure Processing
The postexposure-bake is normally only required for negative resists; its purpose
is to drive the cross-linking reactions that harden the resist to the developer. The
resist will be developed in an appropriate solution, either in a bath or a spray
system. Many developers contain alkali metal ions (such as potassium, K+, and
sodium, Na+). These can cause problems with subsequent processing and also
with the performance of electronic circuits, so the substrate should be cleaned of
these contaminants at the earliest possible stage.
A subsequent hard-bake step may be useful for some negative resists, particularly epoxy-based chemistries, to complete the cross-linking reactions following
development. This can make the resist very resilient to subsequent processing or
suitable as a structural material. It can also make it very difcult to remove when
desired. If all else fails, plasma ashing (etching in an oxygen plasma) is normally
the last resort (see the section on dry etching in Chapter 2).

1.3 X-RAY LITHOGRAPHY


One of the goals in micromachining and MEMS microfabrication has been the
search for high-aspect-ratio microstructures, i.e., structures with a large ratio of
height (or depth) to width. With UV exposure systems, this has been limited by
the optics of the system and penetration of the UV photons into the resist (SU-8 is
a bit of an exception and has found considerable application in microengineering).
The x-ray region of the spectrum begins at wavelengths of greater than 1 nm
and extends to wavelengths beyond (less than) 0.01 nm. X-rays are produced by
electron bombardment of materials, or electron deceleration, in contrast to gamma
rays, which are produced by events within the nucleus of the atom. X-rays with
wavelengths of above about 0.1 nm are referred to as soft x-rays, whereas those
with shorter wavelengths are referred to as hard x-rays.
X-rays have two advantages when considering high-aspect-ratio structures
in thick resists. First, their exceedingly short wavelength means that they are
unlikely to be affected by diffraction effects through the mask, unless they are
being used for very ne lithography. Second, high-energy x-rays can penetrate
into very thick layers of resist, with relatively little attenuation; thus, the resist
is evenly exposed through its thickness. The main limit to resolution, in terms
of micrometer feature sizes, is that several x-ray sources appear as blurred
point sources.
Copyright 2006 Taylor & Francis Group, LLC

Você também pode gostar