Você está na página 1de 11

K L UNIVERSITY

DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING


13-CS201/P- Digital Logic Design and Computer Organization Lab
Course Coordinator: Dr. K.V.V. Satyanarayana
Course Instructors:
1.
2.
3.
4.
5.

Dr. B. Vijayababu
Dr. C.M Sheela Rani
Mr. B. Thirupathi Reddy
Mrs. Ch. Radhika Rani
Mr. Shaik Riaz

Digital Logic Design and Computer Organization Lab is one of the labs exists in the
III semester of B.Tech CSE program. The pre-requisite for this lab is Fundamentals of
Computers. In this lab the student learns about the realization and implementation of various
digital logic circuits and design of various functional units of digital computer. In this lab the
student is going to do the basic experiments required for the design of digital logic circuits,
the first 5 weeks of the semester. From 6th week onwards the students will undergo project
based lab sessions in 20 projects.
LIST OF EXPERIMENTS (First 6 weeks)
1. Basic Logic Gates
2. Realization of gates using Universal gates.
3. Realization of Combinational logic circuits.
i.
Multiplexers & De-Multiplexers
ii.
Decoder & Encoder
iii.
Implementation of Flip-flops using gates.
4. BCD-to-Seven segment decoder with common anode display.
5. Realization of Sequential Circuits Using Flip-Flops
6. Realization of Synchronous counters.
Hardware Requirements:
1. Various Logic Gates in the form of
Digital ICs
2. Electronic Trainer Kits
3. Bread Boards
4. Connecting Wires

Software Requirements:
1. Multi Sim Software Tool
2. Logi sim Tool

Phase 2: List of Projects


PROJECT # 01
Realization of Combinational circuits

Description: Five binary inputs of a digital logic circuit are designated as A, B, C, D and E. The
circuit has three outputs, namely X, Y and Z. X should output 0, only if A is 0 and C and E both
are 1. In all other cases X must remain 1. Y should output 0, if both B and C are 0 and D and E are
1. In all other cases Y must remain as 1. Z goes low if A, D and E are 1 and B and C are 0.
Otherwise Z remains high. Prepare a truth table for the logic and then design a suitable circuit to
implement it.
Task1: Identify the Logic gates required with their functionalities to implement the given
scenario
Task2: Apply different inputs to the Logic gates and check the functionality
Task3: Prepare a Complete Truth table for the scenario
Task4: Design a suitable circuit to implement it.

1.b) Generate the truth table of the following circuit with six inputs A, B, C, D, E and F and
four outputs W, X, Y and Z.

(i)
(ii)

Study the Truth tables for different Logic gates


Apply different inputs to the Logic gates and check the functionality

(iii)
(iv)

Analyse the outputs of the Logic gates


Generate the truth table for the Circuit.

1 c) A circuit has five inputs as A, B, C, D and E. Its six outputs are U, V, W, X, Y and Z. Design the
circuit defined by the following truth table.

A
1
X
1
X

B
0
x
x
1

(i)
(ii)
(iii)
(iv)

C
1
0
X
X

D
X
1
X
0

E
x
0
1
x

U
0
X
1
X

V
1
x
x
0

W
x
1
x
0

X
x
1
x
0

Y
x
x
0
1

Z
x
x
1
0

Study and Analyze different input combinations for the given table
Develop the Truth table from the given table
Design the circuit from the truth table
Verify the output

1 d) . Design a 4-bit combinational circuit incrementar (A circuit that adds one to a 4-bit binary
number) using 4 Half-adders
(i)
(ii)
(iii)

Understand the combinational circuit design


Analyze the functionality of an incrementor
Design the circuit using half adders

PROJECT # 02
Design of ALU with two select-lines
Description: Design a 4-bit ALU with inputs A0A3, B0B3 and Cin. It is to have 4-bit
output Y0Y3 and Cout. The ALU would have two select lines to implement any one of
the following four functions as per the pattern of select lines.
Select lines
00
01
10
11

Function
A + B + Cin
A-B-Cin
A AND B
A OR B

Remarks
Add with carry
Subtract with borrow
Logical AND
Logical OR

Task1: Identify the Logic gates required to design an ALU


Task2: Design the Adder / subtractor circuit of ALU with the given condition

Task 3:Design the Logical AND/Logical OR circuit of ALU with the given
condition
Task4: Test the circuit to get the required output

PROJECT # 03
Design of ALU with two states
Description: Design an ALU that is capable of rotating any 4-bit number by one bit,
either left or right depending upon one function input (with two states). In case of rotating
left, the most significant bit becomes least significant bit after rotation. In case of rotating
right, the least significant bit becomes most significant bit.
Task1: Identify the Logic gates required to design an ALU
Task2: Design the Adder / subtractor circuit of ALU
Task3: Test the circuit to get the required output
Task4: Verify the output.
PROJECT # 04
Design of ALU for multiplication

Description: Design an ALU capable of performing multiplication of two 4-bit numbers


by producing 8-bit result, using Booth's algorithm. The unit may be hardware controlled
in which case the complete hardware design to be implemented. Alternately the unit may
micro-coded, in which case all signals and micro-steps are to be specified.
Task1: Identify the Logic gates required to design an ALU
Task2: Design the ALU circuitry for Multiplication
Task3: Test the circuit with different inputs for multiplication
Task4: Verify and record the output.

PROJECT # 05
Design a 4-bit ALU to implement following eight functions
o
o
o
o

Add with carry


Subtract with carry
Increment by one
Decrement by one

o
o
o
o

Logical AND
Logical OR
Logical XOR
Logical NOT

Assume that apart from carry input, two 4-bit inputs A(03) and B(03) are available
along with three select lines. Increment by one, decrement by one and logical NOT
may be performed with B input only.

Task1: Design Add/Subtract circuit for ALU


Task2: Design the ALU with the Logical operations
Task3: Design a complete ALU which can perform the Arithmetic and Logical
operations
Task4: Test and Verify the output..
PROJECT # 06
Design of Ripple Carry Adders
Description: Arithmetic operations like addition, subtraction, multiplication, division are basic
operations to be implemented in digital computers using basic gates like AND, OR, NOR, NAND
etc. Among all the arithmetic operations if we can implement addition then it is easy to perform
multiplication (by repeated addition), subtraction (by negating one operand) or division (repeated
subtraction).
Half Adders can be used to add two one bit binary numbers. It is also possible to create a logical
circuit using multiple full adders to add N-bit binary numbers. Each full adder inputs a Cin,
which is the Cout of the previous adder. This kind of adder is a Ripple Carry Adder, since each
carry bit "ripples" to the next full adder
Task1: Analyze the functionalities of gates to design circuits
Task2: Understand Adders and Subtractors for designing circuits
Task3: Design a Ripple carry adder to perform different operations
Task4: Test the circuit design with different inputs.

PROJECT # 07
Design of ALU

Description: ALU or Arithmetic Logical Unit is a digital circuit to do arithmetic operations like
addition, subtraction, division, multiplication and logical operations like and, or, xor, nand, nor
etc. The ALU is a fundamental building block of the central processing unit of a computer.

Task1: Study the functionality of ALU


Task2: Design ALU using combinational circuits
Task3: Design ALU using sequential circuits
Task4: Verify the Output Of ALU for different operations

PROJECT # 08
Design of Memory
Description: A memory unit is a collection of storage cells together with associated circuits
needed to transform information in and out of the device. Memory cells which can be accessed
for information transfer to or from any desired random location is called random access
memory(RAM). The block diagram of a memory unit-

Task1: Study and Understand the functionality of a memory unit


Task2: Identify the components required to design a memory unit
Task3: Design a memory unit with the given Data and address lines
Task4: Verify the outputs

PROJECT # 09
Design of Combinational Multiplier
Description: Combinational Multipliers do multiplication of two unsigned binary numbers.Each
bit of the multiplier is multiplied against the multiplicand, the product is aligned according to the
position of the bit within the multiplier, and the resulting products are then summed to form the
final result. Main advantage of binary multiplication is that the generation of intermediate

products are simple: if the multiplier bit is a 1, the product is an appropriately shifted copy of the
multiplicand; if the multiplier bit is a 0, the product is simply 0.
Task1: Study the functionality of a multiplier
Task2: Understand combinational multipliers
Task3: Design a combinational multiplier with the given scenario
Task4: Verify the circuit design with different inputs.

PROJECT # 10
Design of Carry LookaheadAdders
Description: To reduce the computation time, there are faster ways to add two binary numbers by
using carry lookahead adders. They work by creating two signals P and G known to be Carry
Propagator and Carry Generator. The carry propagator is propagated to the next level whereas
the carry generator is used to generate the output carry, regardless of input carry.
Task1: Analyze Adders and carry look ahead adders
Task2: Design a carrylook ahead adder
Task 3:Test it with different inputs
Task4: Record the output values

PROJECT # 11
Design of Registers and Counters
Description: Register is a small amount of storage available as part of a digital processor, such as
a CPU. A counter is a device which stores (and sometimes displays) the number of times a
particular event or process has occurred, often in relationship to a clock signal. In a sequential
circuit the present output is determined by both the present input and the past output. In order to
receive the past output some kind of memory element can be used. The memory elements
commonly used in the sequential circuits are time-delay devices.
Task1: Understand Registers and counters
Task2: Design a register with sequential circuits
Task3: Design a counter with sequential circuits
Task4: Verify the outputs of Both the circuits

PROJECT # 12
Design of Booths Multiplier

Description: Booth's multiplication algorithm is an algorithm which multiplies 2 signed integers


in 2's complement. The algorithm is depicted in the following figure with a brief description. This
approach uses fewer additions and subtractions than more straightforward algorithms. The clock

multiplier (or CPU multiplier or bus/core ratio) measures the ratio of an internal CPU clock
rate to the externally supplied clock. A CPU with a 10x multiplier will thus see 10 internal cycles
Task1: Understand the concept of Booths multiplication
Task2: Identify the gates required to design the circuit
Task3: Design the circuit for Algorithm
Task4: Test the design with different inputs

PROJECT # 13
Design a combinational logic circuit for 4-bit magnitude comparator using universal gates

A Magnitude Comparator is a:

Task1: Study the concept of realization using universal gates


Task2: Understand the concept of comparator
Task3: Analyze combinational circuits
Task4: Design a 4-bit magnitude comparator.

PROJECT # 14
Design a Programmable Array Logic for the given Boolean functions

Puts.
W (A, B, C, D) = (2, 12, 13)
X (A, B, C, D) = (7, 8, 9, 10, 11, 12, 13, 14, 15)
Y (A, B, C, D) = (0, 2, 3, 4, 5, 6, 7, 8, 10, 11, 15)

Z (A, B, C, D) = (1, 2, 8, 12, 13)


Task1: Differentiate PLA and PAL
Task2: Understand the inputs given
Task3: Develop the circuit for PAL
Task4: Test and Verify the PAL

PROJECT # 15
Design a control implementation using flip-flops, decoder and multiplexer

Description: A flip-flop is a circuit that has two stable states and can be used to store state
information. A decoder is a device which does the reverse operation of an encoder, converts
binary information from n input lines to a maximum of 2n unique output lines. A multiplexer is a
device that selects one of several analog or digital input signals and forwards the selected input
into a single line.
Task1: Understand and test the Flip-Flops
Task2: Verify the Decoder circuit and Multiplexer
Task3: Design the Control implementation using the above circuits
Task4: Test the circuit and record the observations

PROJECT # 16
Design a logic circuit for 4 x 4 First-in First-out (FIFO) Buffer
Description: A data buffer is a region of a physical memory storage used to temporarily
store data while it is being moved from one place to another. First in First Out is a method for
organizing and manipulating a data buffer where the oldest (first) entry is processed first.
Task1: Identify the combinational and sequential circuits required
Task2: Understand the concept of buffering with the circuit
Task3: Design the circuit for a FIFO buffer with proper connections
Task4: Test the circuit with different inputs and observe the outputs

PROJECT # 17
Design a logic circuit for BCD to 7-segment decoder

Description: Binary-coded decimal (BCD) is a class of binary encodings of decimal numbers


where each decimal digit is represented by a fixed number of bits. A standard 7-segment LED
display generally has 8 input connections, one for each LED segment and one that acts as a
common terminal or connection for all the internal display segments. Some single displays have
also have an additional input pin to display a decimal point in their lower right or left hand
corner.
Task1: Understand the concept of BCD to Seven segment decoder
Task2: Design a Logic circuit for BCD to Seven segment decoder
Task3: Test and verify the circuit.

PROJECT # 18
Design a logic circuit for BCD Adder

Description: An adder is a digital circuit that performs addition of numbers. It is used in processor
to calculate addresses, table indices, and similar operations. Addition of two BCD digits requires
two 4-bit Parallel Adder Circuits. One 4-bit Parallel Adder adds the two BCD digits. A BCD
Adder uses a circuit which checks the result at the output of the first adder circuit to determine if
the result has exceeded 9 or a Carry has been generated.
Task1: Analyze different Adders
Task2: Identify the circuitary required to design the adder
Task3: Design a BCD Adder
Task4: Test and verify the circuit and record the observations

PROJECT # 19
Design a logic circuit and state diagram of BCD Ripple counter

Description: Ripple counter is a single d-type flip-flop, with its J (data) input fed from its own
inverted output. This circuit can store one bit, and hence can count from zero to one before it
overflows. This counter will increment once for every clock cycle and takes two clock cycles to
overflow, so every cycle it will alternate between a transition from 0 to 1 and a transition from 1
to 0.
Task1 : Analyze the concept of counters
Task2: Understand the state diagrams of counters
Task3: Design a BCD Ripple counter
Task4: Test and verify the output and record the observations

PROJECT # 20
Design a logic circuit for 4-bit arithmetic circuit using Multiplexers, Full-Adders

Description: A multiplexer is a device that selects one of several analog or digital input signals
and forwards the selected input into a single line. A full adder adds binary numbers and accounts
for values carried in as well as out. A one-bit full adder adds three one-bit numbers, often written
as A, B, and Cin. A and B are the operands, and Cin is a bit carried in from the previous less
significant stage. The full-adder is usually a component in a cascade of adders, which add 8, 16,
32, etc. bit binary numbers.
Task1 : Analyze the concepts of Multiplexers and Full-adders
Task2: Understand the design of an arithmetic circuit
Task3: Design a 4-bit Arithmetic circuit using combinational circuits
Task4: Test the circuit with different inputs.

Você também pode gostar