Você está na página 1de 16

Facultad

de
Ciencias
e
Ingenieras Fsicas y Formales.
Escuela
Profesional
de
Ingeniera Mecnica, Mecnica
Elctrica y Mecatrnica.

Tema
Apellidos y
Nombres

I.

Flip Flop

Gua de Laboratorio de
Circuitos Electrnicos II

Pgin

24/1
DOCENTE
AULA
HORARIO
DIA

FORMATO NICO DE TRAB

PRACTICA 1.2.

OBJETIVOS
a) Conocer los elementos bsicos de almacenamiento de informacin
b) Analizar un cerrojo biestable RS construido con compuertas NAND, comprobar su
tabla de verdad e identificar los estados (set y reset).
c) Analizar el funcionamiento dinmico de un Flip-flop JK, verificar el
funcionamiento del dispositivo en los modos sincrnico y asincrnico.
d) Analizar el funcionamiento de un Flip-flop D sincrnico.

II. CUESTIONARIO PREVIO


II.1. Haga un anlisis del funcionamiento de los FLIP-FLOPs RS, JK, D. Adjuntar su
respectiva tabla de verdad.
II.2. El siguiente circuito es un generador de pulsos, llamado tambin en electrnica
digital como RELOJ (CLOCK).

II.2.1. Determine en que modo de operacin se encuentra (monoestable o


astable) y calcule el tiempo en encendido y periodo total para R2 mnimo,
intermedio y mximo. Para la presente practica deber de traerlo
implementado configurndolo a aproximadamente 1 segundo de tiempo de
encendido con su clculo respectivo.

GP. N

Facultad
de
Ciencias
e
Ingenieras Fsicas y Formales.
Escuela
Profesional
de
Ingeniera Mecnica, Mecnica
Elctrica y Mecatrnica.

PRACTICA 1.2.

I. MATERIAL Y EQUIPO
Circuito de reloj del cuestionario previo con sus respectivos componentes
02 Protoboard (por grupo)
01 Fuente de tensin de 5V
01 DIP-switch de 4 pines.
01 pulsador digital pequeo
04 diodos LED (no importa el color)
04 resistencias de 1 kOhm./ W
04 resistencias de 330 Ohm./ W
02 resistencias de 4.7 kOhm./ W.
01 Condensador 0.01uF (cermico o electroltico)
Los siguientes circuitos integrados TTL o equivalentes (de preferencia uno mas de cada
uno):
o (01) 74LS00, (01) 74LS74, (01) 74LS76.
Alambres de conexiones para protoboard
Manual ECG Conductors

Figura Nro. 1
CUADRO DE EQUIPOS UTILIZADOS EN LA PRCTICA

EQUIPOS DE LABORATORIO
EQUIPO

(E)

DESCRIPCION

MARCA/MODELO

FUENTE DE ALIMENTACIN

MCH-305D-II

MULTIMETRO DIGITAL

N.E

24/1

FORMATO NICO DE TRAB

Apellidos y
Nombres

Pgin

DOCENTE
AULA
HORARIO
DIA

Flip Flop

Tema

Gua de Laboratorio de
Circuitos Electrnicos II

CONECTORES CON TERMINAL


COCODRIO BANANO

N.E

GP. N

Facultad
de
Ciencias
e
Ingenieras Fsicas y Formales.
Escuela
Profesional
de
Ingeniera Mecnica, Mecnica
Elctrica y Mecatrnica.

Tema

Flip Flop

24/1

FORMATO NICO DE TRAB

PRACTICA 1.2.
DISPOSITIVOS ELECTRNICOS (D)

Pgin

DOCENTE
AULA
HORARIO
DIA

Apellidos y
Nombres

Gua de Laboratorio de
Circuitos Electrnicos II

Resistencia de 220 ohm


CI TTL 74LS00
CI TTL 74LS76
CI TTL 74LS74

N.E

N.E

HERRAMIENTAS DE TALLER (H)


ALICATES DE CORTE Y
PUNTA DE USO
Dip ELECTRONICO
Switch de 4 y 8

contactos

N.E

LED de colores

N.E

10

Protoboard

N.E

11

Condensador 01uF
(cermico o
electroltico)

N.E

12

Conductores varios

N.E

N.E.

GP. N

Facultad
de
Ciencias
e
Ingenieras Fsicas y Formales.
Escuela
Profesional
de
Ingeniera Mecnica, Mecnica
Elctrica y Mecatrnica.

Flip Flop

Tema

Gua de Laboratorio de
Circuitos Electrnicos II

Pgin

24/1
DOCENTE
AULA
HORARIO
DIA

FORMATO NICO DE TRAB

Apellidos y
Nombres

PRACTICA 1.2.

II. PROCEDIMIENTO
IMPLEMENTANDO LOS SUIENTES CIRCUITOS
1. FLIP-FLOP RS
1.1. Construya el circuito de la figura 1 (Entradas R y S, salida Q y su
correspondiente negada), se le recomienda que inicialice con S=0, R=1.

CIRCUITO RS
IMAGEN

DESCRIPCIN

GP. N

Facultad
de
Ciencias
e
Ingenieras Fsicas y Formales.
Escuela
Profesional
de
Ingeniera Mecnica, Mecnica
Elctrica y Mecatrnica.

Pgin

24/1
DOCENTE
AULA
HORARIO
DIA

Flip Flop

Tema

Gua de Laboratorio de
Circuitos Electrnicos II

FORMATO NICO DE TRAB

Apellidos y
Nombres

PRACTICA 1.2.

1.2. A continuacin llene la tabla 1 de verdad. Como en todas las prcticas deber
de usar interruptores y leds con sus respectivas resistencias.

TABLA DE VERDAD RS
IMAGEN

DESCRIPCIN

Cuando la entrada set estn


0
1 1 en salida Q se almacena
estado
pero
0
1solo hasta que cambie de
nuevo a 0 y la entrada reset
1
0
este
en 1
1

Tabla 1
1.3. Qu sucede cuando R=S=1. describa las variaciones de la salida en funcin de
la definicin del FLIP-FLOP RS.

Cuando R = S = 1 se genera un estado ambiguo en Q y Q, el


cual es indeseable para cualquier proceso. Cuando la entrada S
(Set) se encuentra en estado 1, se enva este estado a la
salida Q la cual lo almacena, hasta que dicho estado pase
nuevamente a 0 y la entrada R (Restitucin) est en estado
1 el Flip-Flop pasa a su estado inicial (se inicializa).

GP. N

Facultad
de
Ciencias
e
Ingenieras Fsicas y Formales.
Escuela
Profesional
de
Ingeniera Mecnica, Mecnica
Elctrica y Mecatrnica.

Pgin

24/1
DOCENTE
AULA
HORARIO
DIA

Flip Flop

Tema

Gua de Laboratorio de
Circuitos Electrnicos II

FORMATO NICO DE TRAB

Apellidos y
Nombres

PRACTICA 1.2.

1.4. Construya el circuito de la figura 2, inicialice con S=0 y R=1. Coloque CK en 1 y


llene la tabla 2. Explique este funcionamiento.

CIRCUITO CK
IMAGEN

DESCRIPCIN

TABLA DE VERDAD ck
IMAGEN

DESCRIPCIN

CK

El FF-RS es activo en 1, entonces


1
0 el 1pulso del reloj (generador de
cuando
funcin) se encuentra en flanco
0
0
0
1
ascendente, se habilitan las salidas.

Tabla 2

1.5. Coloque CK en 0 y llene la tabla 3. Explique este funcionamiento.

GP. N

Facultad
de
Ciencias
e
Ingenieras Fsicas y Formales.
Escuela
Profesional
de
Ingeniera Mecnica, Mecnica
Elctrica y Mecatrnica.

PRACTICA 1.2.
CIRCUITO CK
IMAGEN
DESCRIPCIN

CK

Como las condiciones


1, el estado de las
frente a una ausencia de
salidas
estn

iniciales son S = 0 y R =
salidas se mantiene igual
seal de CK, es decir las
deshabilitadas.

Tabla 3
1.6. Fijando primero los
valores de R y S, active la
seal CK provocando un cambio de 0 a 1. Explique qu sucede mediante el
llenado de la tabla 4.

CIRCUITO CK
IMAGEN
DESCRIPCIN

0
0
1
1

24/1

FORMATO NICO DE TRAB

Apellidos y
Nombres

Pgin

DOCENTE
AULA
HORARIO
DIA

Flip Flop

Tema

Gua de Laboratorio de
Circuitos Electrnicos II

CK

de 0 a
1

de 0 a
1

de 0 a
1

de 0 a
1

Q
1
1
0
1

Si los valores de inicializacin del circuito


son S = R = 0 o 1, se genera el mismo
estado ambiguo sealado
anteriormente, esto es debido a que las
compuertas NAND tienen el mismo valor
preestablecido y al activar
la seal de CK para ambas, estas envan
la misma seal hacia Q y Q.

Tabla 4

1.7. Repita 1.6 para cuando la seal CK provoca un cambio de 1 a 0. Explique qu


sucede mediante la tabla 5.

CIRCUITO CK
IMAGEN
DESCRIPCIN

GP. N

Facultad
de
Ciencias
e
Ingenieras Fsicas y Formales.
Escuela
Profesional
de
Ingeniera Mecnica, Mecnica
Elctrica y Mecatrnica.

Flip Flop

Tema

0
0
1
1

Pgin

24/1
DOCENTE
AULA
HORARIO
DIA

FORMATO NICO DE TRAB

Apellidos y
Nombres

Gua de Laboratorio de
Circuitos Electrnicos II

PRACTICA 1.2.

CK

de 1 a
0

de 1 a
0

de 1 a
0

de 1 a
0

Q
1
1
0
1

Sucede exactamente lo mismo que si CK


pasa de 1 a 0, es decir el FF-RS al ser
activo en 1 mantiene ese
comportamiento con un flanco
ascendente aunque este sea el estado
inicial del pulso del CK.

Tabla 5
1.8. Finalmente explique cul es la funcin de la seal CK.

Cuando el FF es estado activo en alto, la seal CK da el pulso


necesario para que las compuertas NAND comparen sus dos entradas
y almacenen un estado a la salida. Es til slo una parte de este pulso
el flanco ascendente.

1.9. Construir el circuito de la figura 3. describir su funcionamiento al realizar


mediante el pulsador S1.

CIRCUITO CK
IMAGEN

DESCRIPCIN

GP. N

Facultad
de
Ciencias
e
Ingenieras Fsicas y Formales.
Escuela
Profesional
de
Ingeniera Mecnica, Mecnica
Elctrica y Mecatrnica.

Pgin

24/1
DOCENTE
AULA
HORARIO
DIA

Flip Flop

Tema

Gua de Laboratorio de
Circuitos Electrnicos II

FORMATO NICO DE TRAB

Apellidos y
Nombres

PRACTICA 1.2.

El circuito representa un FF-D a partir


de un FF-JK, es decir simplemente se
niega la entrada K y se la une a la
entrada J, de este modo el estado de
la entrada D comn se almacena en
las salidas Q o Q.
El pulsador puentea la fuente para
enviar 0 a la entrada D y obtener 1 en
la salida Q, el condensador se utiliza
para amortiguar la descarga a tierra y
proteger los TTL. La resistencia evita
la descarga directa de la fuente hacia
tierra.

2. FLIP-FLOP JK
2.1. Revise la hoja de datos del 7476 y arme el circuito de la figura 4.

CIRCUITO JK
IMAGEN

DESCRIPCIN

GP. N

Facultad
de
Ciencias
e
Ingenieras Fsicas y Formales.
Escuela
Profesional
de
Ingeniera Mecnica, Mecnica
Elctrica y Mecatrnica.

PRACTICA 1.2.

2.2. Coloque las seales PR (P) y CLR (C) a 1, produciendo luego a travs del
switch un flanco de bajada (CK pasa de 1 a 0). Llene la tabla 6.

CIRCUITO JK
IMAGEN
DESCRIPCIN
TABLA 6

24/1

FORMATO NICO DE TRAB

Apellidos y
Nombres

P CJ

Pgina

DOCENTE
AULA
HORARIO
DIA

Flip Flop

Tema

Gua de Laboratorio de
Circuitos Electrnicos II

K Q

CK

de 1 a
0

de 1 a
0

de 1 a
0

de 1 a
0

1
1
0
1

2.3. Active la seal PR con 0. Qu sucede con la salida cuando varan J y K


(mantenga CLR en 1). Llene la tabla 7.

CIRCUITO JK
IMAGEN

GP. N

Facultad
de
Ciencias
e
Ingenieras Fsicas y Formales.
Escuela
Profesional
de
Ingeniera Mecnica, Mecnica
Elctrica y Mecatrnica.

Flip Flop

Tema

Gua de Laboratorio de
Circuitos Electrnicos II
DOCENTE
AULA
HORARIO
DIA

PRACTICA 1.2.
DESCRIPCIN

K Q

CK

de 1 a
0

de 1 a
0

de 1 a
0

de 1 a
0

0
0
0
0

Con la seal PR (preset) en 0 se


est preestableciendo (estado activo
de 2 en 0) el estado de las salidas
para la ltima combinacin de J y K,
sin importar la variacin de J y K.
2.4. Active la seal CLR con 0.
Qu sucede con la salida
cuando varan J y K (mantenga
PR en 1). Llene la tabla 8.

Tabla 7

CIRCUITO JK
IMAGEN
DESCRIPCIN

P CJ
1

K Q

CK

de 1 a
0

de 1 a
0

de 1 a
0

de 1 a
0

CK

1
1

Con la seal CLR (clear) en 0 se est


inicializando el FF-JK a los valores de 0
para Q y 1 para Q, sin importar la
variacin de J y K.

1
1

Tabla 8

P CJ
0

24/1

FORMATO NICO DE TRAB

Apellidos y
Nombres

P CJ

Pgina

K Q

de 1 a
0

de 1 a
0

de 1 a
0

de 1 a
0

Tabla 9

2.5. Active las seales CLR y PR con


0. Qu sucede con la salida
cuando varan J y K. Llene la
tabla 9.

1
1
1
1

CIRCUITO JK
IMAGEN
DESCRIPCIN

GP. N

Facultad
de
Ciencias
e
Ingenieras Fsicas y Formales.
Escuela
Profesional
de
Ingeniera Mecnica, Mecnica
Elctrica y Mecatrnica.

Pgina

24/1
DOCENTE
AULA
HORARIO
DIA

Flip Flop

Tema

Gua de Laboratorio de
Circuitos Electrnicos II

FORMATO NICO DE TRAB

Apellidos y
Nombres

PRACTICA 1.2.

Se produce un estado ambiguo en las salidas al estar tanto preset como


clear en estado activo. Ambas salidas se encuentran en estado 1 sin
importar la variacin de J y K.

2.6. Active las seales CLR y PR con 1. Adems coloque las entradas J y K a 1.
Seguidamente use el circuito reloj armado en el cuestionario previo. Qu
sucede con las salidas Q y Q negada. Como se le denomina a este tipo de
trabajo.

Con ambas entradas J y K en


estado 1, y PR y CLR en 1,
ambas salidas oscilan entre
estado 1 y 0, este
comportamiento se conoce como
circuito oscilador.

3. FLIP-FLOP D
3.1. Revise la hoja de datos del 7474 y arme el circuito de la figura 5.

CIRCUITO CK
IMAGEN

DESCRIPCIN

GP. N

Facultad
de
Ciencias
e
Ingenieras Fsicas y Formales.
Escuela
Profesional
de
Ingeniera Mecnica, Mecnica
Elctrica y Mecatrnica.

Flip Flop

Tema

Gua de Laboratorio de
Circuitos Electrnicos II
DOCENTE
AULA
HORARIO
DIA

PRACTICA 1.2.

3.2. Coloque las seales CLR y PR a 1, produciendo a travs del switch un flanco
de subida (CK pasa de 0 a 1). Desarrollar la tabla 10.

CIRCUITO CK
IMAGEN
DESCRIPCIN

24/1

FORMATO NICO DE TRAB

Apellidos y
Nombres

P C D

Pgina

CK

de 0 a
1

de 0 a
1

Tabla 10

1
0

Se produce un estado ambiguo en las


salidas al estar tanto preset como
clear en estado activo. Ambas salidas
se encuentran en estado 1 sin
importar la variacin de J y K.

3.3. Cumplen el CLR y el PR la misma


funcin que en el anlisis con el
JK? (Anexe una tabla en su informe final)

GP. N

Facultad
de
Ciencias
e
Ingenieras Fsicas y Formales.
Escuela
Profesional
de
Ingeniera Mecnica, Mecnica
Elctrica y Mecatrnica.

PRACTICA 1.2.
P C
11
10
01
00
11
10

En efecto CLR y PR
misma funcin que

01
00

CK

De 0 a
1

De 0 a
1

De 0 a
1

De 0 a
1

De 0 a
1

De 0 a
1

De 0 a
1

De 0 a
1

Q
1
1
0
1
0
1
0

cumplen
la
en un FF-JK.

CUESTIONARIO FINAL

1.

24/1

FORMATO NICO DE TRAB

Apellidos y
Nombres

V.

Pgina

DOCENTE
AULA
HORARIO
DIA

Flip Flop

Tema

Gua de Laboratorio de
Circuitos Electrnicos II

La transferencia asncrona de datos hace uso de entrada CLK. ?

No, porque no se sincroniza con una seal reloj. En este modo, las salidas
cambian de manera automtica siguiendo las rdenes de las entradas.

GP. N

Facultad
de
Ciencias
e
Ingenieras Fsicas y Formales.
Escuela
Profesional
de
Ingeniera Mecnica, Mecnica
Elctrica y Mecatrnica.

Flip Flop

Tema

DOCENTE
AULA
HORARIO
DIA

PRACTICA 1.2.

Qu tipo de F.F. se adapta mejor a la transferencia sncrona porque requiere


del mnimo nmero de interconexiones de un F. F. a otro. ?

La trasferencia sncrona de datos requiere menos circuitos que la transferencia


asncrona. ?

No, porque para una transferencia sncrona es necesaria una seal reloj
proveniente de otro circuito, en cambio una transferencia asncrona solo
necesita una determinada combinacin en las entradas del FF.

VI. OBSERVACIONES Y CONCLUSIONES

Los FF pueden tener varias entradas, dependiendo del tipo de las


funciones internas que realice, y tiene dos salidas:
La salida Q (salida normal)
La salida ~Q (salida negada)
La parte ms importante de una memoria son los Flip Flops, este
circuito es una combinacin de compuertas lgicas, A diferencia de
las caractersticas de las compuertas solas, si se unen de cierta
manera, estas pueden almacenar datos que podemos manipular con
reglas preestablecidas por el circuito mismo.
Las salidas de los FF solo pueden tener dos estados (binario) y
siempre tienen valores contrarios.
Los circuitos digitales ASINCRONOS son muy complicados en lo que a
diseo y reparacin se refiere, ya que, al encontrarnos con una falla
en un circuito de muchos registros interconectados, el rastreo de los
cambios en todas las compuertas es laborioso.

24/1

El FF-D, cuenta solo con una entrada, requiere solo de una seal .

3.

Pgina

FORMATO NICO DE TRAB

Apellidos y
Nombres

2.

Gua de Laboratorio de
Circuitos Electrnicos II

Los circuitos digitales SINCRONOS son ms fciles de disear y


reparar, debido a que los cambios de las salidas son eventos
"esperados" (ya que fcilmente podemos saber el estado de cada una
de las entradas o salidas sin que estas cambien repentinamente), y
los cambios dependen del control de una sola seal aplicada a todos
los registros, la seal de RELOJ.

GP. N

Facultad
de
Ciencias
e
Ingenieras Fsicas y Formales.
Escuela
Profesional
de
Ingeniera Mecnica, Mecnica
Elctrica y Mecatrnica.

Tema
Apellidos y
Nombres

Flip Flop

Gua de Laboratorio de
Circuitos Electrnicos II

Pgina

24/1
DOCENTE
AULA
HORARIO
DIA

FORMATO NICO DE TRAB

PRACTICA 1.2.

La seal de reloj es una onda cuadrada o rectangular, los registros


que funcionan con esta seal, solo pueden cambiar cuando la seal
de reloj hace una transicin, tambin llamados "flancos", por lo tanto,
la seal de reloj solo puede hacer 2 transiciones (o Flancos).
Todos los FF cuentan con una entrada con el rotulo (RELOJ, CLOCK,
CLK, CP) y un circulo para saber cmo debe ser la seal activa. Los
que no tienen circulo, son sincronizados por una TPP, los que cuentan
con un circulo son sincronizados por una TPN.
Todos los FF cuentan con entradas de control, que determinan el
cambio que van a tener las salidas, al igual que en los Registros
bsicos, pero estas entradas no pueden modificar las salidas
arbitrariamente, solo podrn hacerlo cuando el FF reciba su transicin
activa.
Las entradas de control del FF nos permiten saber cmo van a
cambiar las salidas, pero solo la senal de Reloj podra hacer efectivo
este cambio.

GP. N

Você também pode gostar