Você está na página 1de 15

Prctica 1

VHDL El lenguaje de descripcin en hardware


Introduccin
En esta prc,ca se describe la programacin en VHDL a travs del so;ware WARP 5.2 de la
empresa Cypress Semiconductor. Cypress proporciona las herramientas para el desarrollo de
aplicaciones en disposi,vos programables del ,po GAL y CPLD a nivel de circuito integrado (vase
gura P1.1).

Figura P1.1

Esta prc,ca pretende que el usuario se familiarice con el procedimiento y manejo de pantallas del
so;ware Galaxy, de Warp 5.2 mediante la descripcin paso a paso del procedimiento : edicin,
correccin de errores, eleccin del disposi,vo programable, simulacin y complilacin de un
programa en VHDL.
Es importante hacer notar que la u,lizacin de la versin Warp 5.2 o versiones ms recientes no
deben ser un obstculo para que el lector desarrolle las prc,cas; de igual manera se pueden
u,lizar las herramientas y sistemas de desarrollo de la empresas Altera o Xilinx con las que se
cuente de manera personal o ins,tucional. El manejo del so;ware de estas empresas se abordar
en prc,cas subsiguientes.
Las ventajas que considero relevantes en la u,lizacin de la herramienta Warp 5.2 de Cypress son
las siguientes.
Herramienta de trabajo muy amigable y de dominio pblico.
Fcil Instalacin en computadoras personales XP y en mquinas virtuales.
Soporta disposi,vos a nivel de circuito integrado fcilmente manejables en tabletas de
experimentacin electrnica protoboard, GAL`s de la familia 16V8, 20V8 y 22V10 cuyo
costo aproximado en el mercado oscila alrededor de 25 y 30 pesos.
Soporta la programacin en disposi,vos CPLD de la familia Flash 37xxi, con costo en el
mercado aproximado de 300 pesos.
Los circuitos anteriores son fcilmente programados en equipos grabadores
,convencionales y universales de laboratorio.
El so;ware es recomendable para materias bsicas e intermedias en donde el alumno puede
realizar aplicaciones a nivel de chip, por ejemplo: introduccin al diseo lgico, lgica digital,
introduccin a las computadoras, arquitectura de computadoras, rob,ca mviletc,

D.R. 2013 Grupo Editorial Patria S.A. de C.V. Queda prohibida la reproduccin con fines comerciales directos e indirectos, la trasmisin total o parcial de los contenidos de este documento en cualesquiera formas, sean electrnicas o mecnicas, sin la autorizacin por escrito del editor.

Practica 1

Objetivo general
Conocer, describir y u,lizar las herramientas de edicin, compilacin y simulacin u,lizadas para
programar en VHDL.
Objetivos especficos:
El lector conocer el procedimiento de instalacin del so;ware Warp 5.2 u,lizado para
programar en VHDL.
Aprender a manejar las herramientas de edicin, compilacin y simulacin, y correccin de
errores en Warp 5.2.
Conocer la forma de seleccionar un disposi,vo lgico programable para grabar la aplicacin.
Analizar el archivo de reporte y la conguracin de terminales del circuito u,lizado.

Desarrollo terico
Describa los siguientes conceptos:
1.- Dena el concepto de en,dad.
2.- Dena el concepto de arquitectura.
3.- Cuales son los ,pos de datos ms u,lizados?
4.- Cules son los diferentes modos de entrada a una en,dad?

D.R. 2013 Grupo Editorial Patria S.A. de C.V. Queda prohibida la reproduccin con fines comerciales directos e indirectos, la trasmisin total o parcial de los contenidos de este documento en cualesquiera formas, sean electrnicas o mecnicas, sin la autorizacin por escrito del editor.

Practica 1

Desarrollo prctico
Para iniciar con la edicin de un programa debe tener instalado Warp 5.2 y seguir la gua de apoyo
ubicada en la pestaa denominada so;ware.
1. Seleccione Galaxy mediante la siguiente ruta (vase gura P1.2).

Figura P1.2 Ruta del Programa: MENU INICIO/Todos los programas/Warp 5.2/Galaxy.

2. Al seleccionar y ejecutar Galaxy se abre la pantalla principal del so;ware. Para iniciar con la
edicin de un programa seleccione la opcin FILE y habilite la opcin de NEW (vase gura P1.3).

Figura P1.3 Pantalla de inicio para preparacin de la edicin.

3. Seleccione ahora la opcin TEXT FILE (vase gura P1.4).

Figura P1.4 Seleccin de Text File para captura de cdigo.

D.R. 2013 Grupo Editorial Patria S.A. de C.V. Queda prohibida la reproduccin con fines comerciales directos e indirectos, la trasmisin total o parcial de los contenidos de este documento en cualesquiera formas, sean electrnicas o mecnicas, sin la autorizacin por escrito del editor.

Practica 1

Despus de la seleccin se despliega la pantalla u,lizada para la edicin del programa (vase gura
P1.5).

Figura P1.5 Pantalla de edicin.

Captura de cdigo.
4. Escriba en su pantalla de edicin el siguiente cdigo (vase gura P1.6). El so;ware no hace
dis,ncin entre maysculas o minsculas; como algo adicional las funciones de salida f0 y f1 se
relacionan con la tabla de verdad mostrada.

Figura P1.6 Cdigo del programa.

Despus de haber hecho la captura del cdigo, seleccione de la barra de herramientas y del
men File la opcin Save as, para guardar nuestro archivo, le damos un nombre al proyecto
con extensin .vhd (vase gura P1.7), en nuestro ejemplo Prc,ca1.vhd.

D.R. 2013 Grupo Editorial Patria S.A. de C.V. Queda prohibida la reproduccin con fines comerciales directos e indirectos, la trasmisin total o parcial de los contenidos de este documento en cualesquiera formas, sean electrnicas o mecnicas, sin la autorizacin por escrito del editor.

Practica 1

Figura P1.7 Procedimiento para guardar el archivo con extensin .vhd.

Nota: En este paso el programa reconoce las palabras reservadas y las pone en color azul.

D.R. 2013 Grupo Editorial Patria S.A. de C.V. Queda prohibida la reproduccin con fines comerciales directos e indirectos, la trasmisin total o parcial de los contenidos de este documento en cualesquiera formas, sean electrnicas o mecnicas, sin la autorizacin por escrito del editor.

Practica 1

Compilacin
5. Para compilar nuestro proyecto es necesario seguir la siguiente ruta: File y despus New, al
ejecutar New, se selecciona la opcin Project (target-device), tal como se muestra en la gura
P1.8.

Figura P1.8 a) Ruta de enlace File-New b) Seleccin de Project (target-device).


Posteriormente aparece la pantalla de la gura P1.9, u,lizada para asignar nombre al proyecto
Project Name. Considere que la asignacin del nombre puede ser el mismo nombre que dio al
cdigo del programa u otro diferente como un ejemplo considere el nombre de PracEca1.

Figura P1.9Asignacion de nombre al proyecto.

D.R. 2013 Grupo Editorial Patria S.A. de C.V. Queda prohibida la reproduccin con fines comerciales directos e indirectos, la trasmisin total o parcial de los contenidos de este documento en cualesquiera formas, sean electrnicas o mecnicas, sin la autorizacin por escrito del editor.

Practica 1

Despus de haberle dado nombre al proyecto, seleccionamos siguiente y enseguida se abrir


una ventana para agregar los archivos que queremos compilar. Buscamos el nombre del
programa que guardamos con extensin .vhd y lo seleccionamos anexndolo mediante las
echas de ayuda, add >>, nalmente presionamos ok (vase gura P1.10).

Figura P1.10 Seleccin de archivo vhd.

Posteriormente (vase gura P1.11), aparece la ventana de seleccin de disposi,vo Select


Target Device, mostrando las diferentes familias de los disposi,vos lgicos programables.
Es importante recordar, como se mencion en la introduccin, que este so;ware es muy
adecuado para que el alumno realice proyectos en disposi,vos lgicos programables
pequeos del ,po GAL (Small PLDs), arreglo lgico genrico o en disposi,vos CPLD (Complex
PLDs). Para esta prc,ca seleccionamos la opcin de Small PLDs.

Figura P1.11 Familia de disposi,vos lgicos programables.

Al ejecutar la opcin de SPLD se desplegarn todos los disposi,vos del ,po GAL que soporta
el so;ware. Para con,nuar con nuestro ejemplo seleccionamos el disposi,vo que se u,lizar

D.R. 2013 Grupo Editorial Patria S.A. de C.V. Queda prohibida la reproduccin con fines comerciales directos e indirectos, la trasmisin total o parcial de los contenidos de este documento en cualesquiera formas, sean electrnicas o mecnicas, sin la autorizacin por escrito del editor.

Practica 1

en la prc,ca; para ejemplicar considere las opciones Device: GAL 16V8, 20V8 o 22V10,
posteriormente seleccione el empaque correspondiente en Package: PALCE 16V8-25PC/PI,
PALCE 20V8-25PC o PALCE22V10-25PC, respec,vamente (vase gura P1.12).

Figura P1.12 Seleccin del circuito Gal 22V10.

Una vez elegido el circuito nos dirigimos a la barra de tareas y en el men COMPILE elegimos
la opcin PROJECT (vase gura P1.13).

Figura P1.13 Seleccin del bloque de compilacin.

En este paso se realiza la compilacin del proyecto. En la parte inferior de nuestra pantalla
aparece si fue o no exitosa la compilacin WARP done, CompilaEon Successful (vase
gura P1.14).
En caso de no ser exitosa seleccione la pestaa Errors & Warnings, d doble clic en cada lnea
de error y corrija los errores correspondientes.

D.R. 2013 Grupo Editorial Patria S.A. de C.V. Queda prohibida la reproduccin con fines comerciales directos e indirectos, la trasmisin total o parcial de los contenidos de este documento en cualesquiera formas, sean electrnicas o mecnicas, sin la autorizacin por escrito del editor.

Practica 1

Despus de cada correccin debe guardar el archivo y realizar nuevamente la compilacin.

Figura P1.14 Formato que indica el estado de la compilacin.

La asignacin de pins en el circuito se revisa u,lizando la opcin Report File ubicada en la


opcin VIEW de la barra de herramientas (vase gura P1.15). El archivo de reporte nos
mostrar en qu terminal se encuentran ubicadas las entradas y salidas del circuito.

Figura P1.15 Seleccin del archivo de reporte.

Simulacin
9

D.R. 2013 Grupo Editorial Patria S.A. de C.V. Queda prohibida la reproduccin con fines comerciales directos e indirectos, la trasmisin total o parcial de los contenidos de este documento en cualesquiera formas, sean electrnicas o mecnicas, sin la autorizacin por escrito del editor.

Practica 1

6. Para la simulacin del circuito seleccione dentro de la versin de Warp la opcin Ac,ve-HDL
Sim; d la ruta mostrada en la gura P1.16.

Figura P1.16 Abrir Ac,ve-HDL Sim.

Al terminar la seleccin de ACTIVE-HDL Sim, aparecer la pantalla de simulacin como la


mostrada en la gura P1.17.

Figura P1.17 Pantalla de simulacin.

El archivo a compilar se encuentra dentro de la opcin open VHDL y en la carpeta vhd (Los
archivos se guardan de manera natural en esta carpeta). Para la simulacin es importante
que el archivo se encuentre dentro de ella (vase gura P1.18).

Figura P1.18 Archivo de simulacin.

10

D.R. 2013 Grupo Editorial Patria S.A. de C.V. Queda prohibida la reproduccin con fines comerciales directos e indirectos, la trasmisin total o parcial de los contenidos de este documento en cualesquiera formas, sean electrnicas o mecnicas, sin la autorizacin por escrito del editor.

Practica 1

Ya que hemos seleccionado nuestro archivo, ubiquemos en la pantalla del simulador la


opcin add signal, cuyo emblema aparece en el siguiente icono.

Ahora seleccione y marque las variables involucradas en su proyecto, posteriormente


presione Add (vase gura P1.19).

Figura P1.19 Seleccin de seales de anlisis.

Las variables seleccionadas aparecen al lado derecho de su pantalla de simulacin. Seleccione


una seal y presione con el botn derecho del ratn, esta accin origina que aparezca la
opcin S,mulator, como se observa en la gura P1.20.

Figura P1.20 Seleccin de seales dentro de la ventana de simulacin.

11

D.R. 2013 Grupo Editorial Patria S.A. de C.V. Queda prohibida la reproduccin con fines comerciales directos e indirectos, la trasmisin total o parcial de los contenidos de este documento en cualesquiera formas, sean electrnicas o mecnicas, sin la autorizacin por escrito del editor.

Practica 1

La seleccin de SEmulator nos lleva a la siguiente pantalla, gura 21. En SEmulator type
seleccione Value y posteriormente en Force Value escriba o seleccione el valor de 0,
nalmente presione Apply. Este procedimiento asigna a la variable a el valor de 0
Lgico.


Figura P1.21 Asignamos valor a una seal.

Ahora seleccionemos la seal b y repe,mos el proceso anterior, para ejemplicar asigne a la


variable b el valor de 0 lgico. Existen varias formas de asignar valor a una variable de
entrada, este es solo un caso.
Al nalizar con las variables de entrada involucradas, termine con la opcin Close (vase
gura P1.22).

Figura P1.22 Asignamos valor a la seal.

12

D.R. 2013 Grupo Editorial Patria S.A. de C.V. Queda prohibida la reproduccin con fines comerciales directos e indirectos, la trasmisin total o parcial de los contenidos de este documento en cualesquiera formas, sean electrnicas o mecnicas, sin la autorizacin por escrito del editor.

Practica 1

Para con,nuar con el proceso, seleccione la opcin Simula,on y elija la opcin Run UnEl o
Run For, esta seleccin es la u,lizada para correr el programa paso a paso (vase gura
P1.23).

Figura P1.23 Se ejecuta simulacin.

En el caso de seleccionar Run UnEl aparece una ventana que solicita introducir el ,empo de
anlisis, , en este caso, cada paso de simulacin se realizara en un intervalo de ,empo de
100 nanosegundos.

Las seales de salida f0 y f1 adoptan un determinado valor, que corresponde a la asignacin


de la combinacin de entrada. El valor se analiza y se determina si corresponde al valor de
salida esperado (vase gura P1.24).

Figura P1.24 Simulacin para la entrada a=0 y b= 0.

13

D.R. 2013 Grupo Editorial Patria S.A. de C.V. Queda prohibida la reproduccin con fines comerciales directos e indirectos, la trasmisin total o parcial de los contenidos de este documento en cualesquiera formas, sean electrnicas o mecnicas, sin la autorizacin por escrito del editor.

Practica 1

En la gura P1.25 se muestra la simulacin para una nueva combinacin de entrada, a=0 y
b=1.

Figura P1.25
En la gura 26 se muestra la simulacin para todos los valores de entrada de las variables a y
b. Para observar con mayor detenimiento considere el uso del botn de acercamiento zoom
out.

Figura 26. Simulacin de las cuatro condiciones de entrada-salida de la tabla de verdad.

14

D.R. 2013 Grupo Editorial Patria S.A. de C.V. Queda prohibida la reproduccin con fines comerciales directos e indirectos, la trasmisin total o parcial de los contenidos de este documento en cualesquiera formas, sean electrnicas o mecnicas, sin la autorizacin por escrito del editor.

Practica 1

MATERIALES DE APOYO
So;ware Warp 5.2
Computadora personal
Circuito Gal 16V8, 20V8 o 22V10.

D.R. 2013 Grupo Editorial Patria S.A. de C.V. Queda prohibida la reproduccin con fines comerciales directos e indirectos, la trasmisin total o parcial de los contenidos de este documento en cualesquiera formas, sean electrnicas o mecnicas, sin la autorizacin por escrito del editor.

Practica 1

15

Você também pode gostar