Você está na página 1de 12

UNIVERSIDAD CATLICA DE SANTA MARA

FACULTAD DE CIENCIAS E INGENIERIAS


FSICAS Y FORMALES
PROGRAMA PROFESIONAL DE INGENIERA
MECNICA, MECNICA ELCTRICA Y MECATRNICA

CURSO:
LABORATORIO DE CIRCUITOS ELECTRONICOS II
PROYECTO:
RELOJ DIGITAL TTL 24 HORAS

ALUMNOS:
o
o
o
o
o

ARAGM DURAN, MICHAEL


CONDORI FLORES, JULVER
CHAVEZ MOGROVEJO, GIANNI
FLORES ALARCN, JOS
PINTO GALLEGOS, JESS
PROFESOR:

ING. CHRISTIAN COLLADO OPORTO

AREQUIPA-PERU
2014

NDICE GENERAL
Resumen
...pg. 4
1

Captulo 1: Propsitos
1.1 Introduccin
.pg. 5
1.2 Objetivos

.. pg. 5
1.2.1 Objetivo general..
. pg. 5
1.2.2
Objetivos
especficos.
2

pg. 5
Captulo 2: Marco
Terico...
pg. 6
2.1 Elementos y Conceptos
Previos... pg.
7
2.2 Resultados esperados del

proyecto.. pg. 10
Captulo 3:
metodologa

pg. 11
Captulo 4: Logros y
Dificultades.

pg. 12
Captulo 5:
Conclusiones

.. pg. 13
Bibliografa
.. pg. 13

Resumen
Con

la

utilizacin

de

decodificadores,

compuertas

lgicas

varios

componentes electrnicos adicionales, se implementar un reloj digital que


mediante un generador de pulsos, nos mostrar los segundos, minutos y
horas en formato militar respectivamente.
La visualizacin de los segundos, minutos y horas se har mediante dobles
de 7 segmentos. Adems, contara con un pulsador que detendr los
minutos para as mirar el funcionamiento del reloj un poco ms rpido.
Al mismo tiempo, se implementara este mismo sistema en un aplicativo
software llamado Proteos

que es un simulador elctrico-electrnico en

tiempo real.

1 CAPITULO 1: PROPSITOS
1.1 INTRODUCCIN
Actualmente, en el campo de la ingeniera, es muy comn la utilizacin de
dispositivos electrnicos y digitales, ya que facilitan la implementacin de
proyectos tales como un reloj, que mediante la utilizacin de estos, ha
evolucionado

de

la

forma

analgica

(mediante

las

manecillas)

visualizarnos mediante los nmeros (visualizacin numrica).


En la mayora de las ramas de la ingeniera, el reloj es un proyecto conocido
y realizado en curcos bsicos de sistemas digitales (circuitos electrnicos 2).
Con este proyecto se pretende demostrar todo lo aprendido en este curso.

1.2 OBJETIVOS
1.2.1 Objetivo General
Diseo e implementacin de un reloj digital TTL utilizando componentes
digitales y aplicativo software.
1.2.2 Objetivos Especficos
Descripcin de dispositivos electrnicos (contadores, compuertas, displays,
etc.) y su respectivo funcionamiento.
5

2 CAPITULO 2: MARCO TERICO


2.1 ELEMENTOS/ CONCEPTOS PREVIOS:
2.1.1 Contador: 74LS 90
Es un contactor de onda cuadrada de 4bit e la entrada de mdulo 10,
12 y mdulo 16, respectivamente. Cada dispositivo consta de cuatro
flip-flop maestro-esclavo que son conectados internamente para
proporcionar una seccin de divisin por dos (LS90). Cada seccin
tiene una entrada de reloj. Es de tipo JK y su diagrama

es el

siguiente:

2.1.2 Decodificador: 74LS47


Es un circuito lgico que convierte el cdigo binario de entrada en
formato BCD a niveles lgicos que permiten activar un display de 7
6

segmentos en donde la posicin de cada barra forma el numero


decodificado. Su tabla de verdad y su diagrama lgico de composicin
es:

2.1.3 Compuerta Lgica: 74LS08


Es la compuerta lgica and con 2 entrada y una salida, es de bajo
consumo de potencia, sirve en este caso como limitador de los
contadores permitindonos hacerlos que lleguen a los nmeros 2, 3, 5
9, para las cuentas.

2.1.4 Display de 7 Segmentos


Es un visualizador el cual posee 7 led que se prenden mediante el
74LS47, hay dos display, unos es el de nodo comn y el otro es el de
ctodo comn.

2.1.5 Generador de Pulsos: LM555/NE555


Es un controlador altamente estable capaz de producir pulsos de
temporizacin precisas. Con operacin monoestable el tiempo de
retardo se controla por una resistencia externa y un condensador. A
mayor sea el valor del condensador ms lento se generaran los
pulsos.

2.1.6 Capacitores
El condensador en este caso se va a encargar de controlar los pulsos
generados por el NE555 y el condensador a utilizar es de 100F ya
que este genera 60 pulsaciones en un minuto. A menor valor del
condensador sern ms rpidos los pulsos generados por el NE555.
2.1.7 Resistencias
Son las encargadas de reducir el voltaje de alimentacin que llegan a
los focos led que se utilizaran como segundero.
9

2.2 RESULTADOS ESPERADOS DEL PROYECTO


1. A partir de los diferentes elementos (resistencias, diodos, etc.) y los
dispositivos

digitales

(compuertas,

decodificadores,

etc.)

se

desarrollar el proyecto y posteriormente la implementacin del


circuito (reloj digital) en el Protoboard.
2. Conocer el funcionamiento de cada uno de los dispositivos digitales
y su comportamiento dependiendo de la cantidad de voltaje
generado.

3 CAPITULO 3: METODOLOGA
1. Inicialmente se verificaron que todos los elementos o dispositivos
electrnicos se encuentren en el laboratorio para poder realizar la
prctica y probarlos para comprobar si se encuentran en buen estado.
2. Despus de comprobar uno a uno los dispositivos, se inicia con el
armado del siguiente circuito:
3. Se analiza el circuito y se identifica problemas o fallas que
posiblemente pueda tener.
4. Despus de analizar el circuito, se encuentra que el circuito est
incompleto, que algunos pines no tienen uso y eso podra hacer que
el circuito no funcione. Los problemas se describen a continuacin:
1. En el contador 74LS90 el pin 5 va a +5V y el pin 10 va a
negativo (tierra).
2. En el decodificador 74LS47 los pines 16 y 3 van a +5V y el pin
8 va a negativo (tierra).
5. Posteriormente de haber corregido el problema, se inicia con el
armado. El circuito se divide en 3 partes:
1. El generador de pulsos (cuadro naranja).
2. Los contadores (cuadro verde).
3. Los decodificadores (cuadro rojo).
6. En la primera parte (generador d pulsos) seria de la siguiente
manera:
7. En la segunda parte (contadores) es de la siguiente forma:
8. En la tercera parte (decodificadores) tenemos que tener en cuenta
que tipo de display vamos a utilizar. En el caso de los display de 7
segmentos unitario el diseo seria as:
9. Finalmente, el circuito terminado se vera de la siguiente forma:
10

B1

B2

5V

5V

R2
4k7

VCC

U1
Q
DC

DSW1

CV

R1
GND
1

TR

TH

ON

U2
1
2

14
1

U3

CKA
CKB

Q0
Q1
Q2
Q3

DIPSW_2

4k7
2

OFF

4
3

D1
LED-BLUE

2
3
6
7

12
9
8
11

7
1
2
6
4
5
3

R0(1)
R0(2)
R9(1)
R9(2)

A
B
C
D
BI/RBO
RBI
LT

QA
QB
QC
QD
QE
QF
QG

13
12
11
10
9
15
14

74LS47

74LS90
555

B3
C1
1u

5V

U4
14
1

U5

CKA
CKB

2
3
6
7

Q0
Q1
Q2
Q3

12
9
8
11

7
1
2
6
4
5
3

R0(1)
R0(2)
R9(1)
R9(2)

A
B
C
D
BI/RBO
RBI
LT

QA
QB
QC
QD
QE
QF
QG

13
12
11
10
9
15
14

74LS47

74LS90

B4

5V

U4:A

74LS08

U6
14
1
2
3
6
7

U7

CKA
CKB

Q0
Q1
Q2
Q3

12
9
8
11

7
1
2
6
4
5
3

R0(1)
R0(2)
R9(1)
R9(2)

A
B
C
D
BI/RBO
RBI
LT

13
12
11
10
9
15
14

QA
QB
QC
QD
QE
QF
QG

74LS47

74LS90

B5

5V

U8
14
1

2
3
6
7

U9

CKA
CKB

Q0
Q1
Q2
Q3

12
9
8
11

7
1
2
6
4
5
3

R0(1)
R0(2)
R9(1)
R9(2)

A
B
C
D
BI/RBO
RBI
LT

13
12
11
10
9
15
14

QA
QB
QC
QD
QE
QF
QG

74LS47

74LS90

B6

5V

U6:A

74LS08

U10
14
1

2
3
6
7

B8
5V

CKA
CKB

U11
Q0
Q1
Q2
Q3

12
9
8
11

7
1
2
6
4
5
3

R0(1)
R0(2)
R9(1)
R9(2)

A
B
C
D
BI/RBO
RBI
LT

QA
QB
QC
QD
QE
QF
QG

13
12
11
10
9
15
14

74LS47

74LS90

B7

5V

U6:B
74LS08

U12
14
1
2
3
6
7

CKA
CKB
R0(1)
R0(2)
R9(1)
R9(2)

U13
Q0
Q1
Q2
Q3

12
9
8
11

7
1
2
6
4
5
3

A
B
C
D
BI/RBO
RBI
LT

QA
QB
QC
QD
QE
QF
QG

13
12
11
10
9
15
14

74LS47

74LS90

11

Alguna variante en el segundero usando


LED

D2

D3

D4

D5

D6

D7

D8

D9

D10

D11

D12

D13

D14

D15

D16

D17

D18

D19

D20

D21

D22

D23

D24

D25

D26

D27

D28

D29

D30

D31

D32

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

D33
LED-BLUE

R6

R4

240R

240R

R5

R3

240R

13

12

11

10

3
1D

SRG8

C1/->

&
1
2

1
2

1
2

8
1
2

74LS164

&

12

10

11

13

U14

1D

74LS164

SRG8

U5

74LS164

5V

13

12

11

10

4
1D

SRG8

U2

&

C1/->

1D

74LS164

&

C1/->

SRG8

U3
B1

C1/->

12

13

11

10

240R

R2

Q
DC

3
1

U1

8
VCC

DSW1

4
3

GND

TH

ON

5V

74LS00
1
2

DIPSW_2

R1

TR

OFF

CV

4k7
2

B3

U19:A

4k7

D1
LED-BLUE

6
555

D34

D35

D36

D37

D38

D39

D40

D41

D42

D43

D44

D45

D46

D47

D48

D49

D50

D51

D52

D53

D54

D55

D56

D57

D58

D59

D60

D61

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

LED-BLUE

C1
1u

R10
240R

R7

R8

240R

240R

R9

1D

13

12

11

10

&

C1/->

8
9

1
2

1
2

1
2

SRG8

74LS164

1
2

8
9

13

12

10

11

4
1D

U18

74LS164

&

C1/->

U17

&

SRG8

13

12

11

10

3
R

1D

74LS164

C1/->

12

11

10

13

U16

74LS164
SRG8

1D

U15

&

C1/->

SRG8

240R

B4

5V

DSW2
4
3

OFF

ON

U6
1
2

U7

14
1

CKA
CKB

2
3
6
7

R0(1)
R0(2)
R9(1)
R9(2)

DIPSW_2

Q0
Q1
Q2
Q3

12
9
8
11

7
1
2
6
4
5
3

A
B
C
D
BI/RBO
RBI
LT

QA
QB
QC
QD
QE
QF
QG

13
12
11
10
9
15
14

74LS47

74LS90

B5

5V

U8
14
1
2
3
6
7

U9

CKA
CKB

Q0
Q1
Q2
Q3

12
9
8
11

7
1
2
6
4
5
3

R0(1)
R0(2)
R9(1)
R9(2)

A
B
C
D
BI/RBO
RBI
LT

QA
QB
QC
QD
QE
QF
QG

13
12
11
10
9
15
14

74LS47

74LS90

B6

5V

U6:A

74LS08

DSW3
4
3

OFF

ON

U10

1
2

14
1

CKA
CKB

DIPSW_2
2
3
6
7

B8
5V

U11
Q0
Q1
Q2
Q3

12
9
8
11

7
1
2
6
4
5
3

R0(1)
R0(2)
R9(1)
R9(2)

A
B
C
D
BI/RBO
RBI
LT

QA
QB
QC
QD
QE
QF
QG

13
12
11
10
9
15
14

74LS47

74LS90

B7

5V

U6:B
74LS08

U12
14
1
2
3
6
7

CKA
CKB
R0(1)
R0(2)
R9(1)
R9(2)

U13
Q0
Q1
Q2
Q3

12
9
8
11

7
1
2
6
4
5
3

A
B
C
D
BI/RBO
RBI
LT

QA
QB
QC
QD
QE
QF
QG

13
12
11
10
9
15
14

74LS47

74LS90

4 CAPITULO 4: LOGROS Y DIFICULTADES


4.1 DIFICULTADES:
1. La dificultad que se tuvo es en el cableado ya que basta que
uno se conecte mal el circuito ya no funcionara ya que es un
circuito secuencial.

4.2 LOGROS:
1. El mayor logro es haber logrado plasmar lo simulado en el
protobar logrando que funcione un reloj digital en formato de 24
horas

12

5 CONCLUSIONES
Este circuito del reloj digital es un circuito secuencial en que se
usan un 555 que es el generador de impulsos acompaado de un
capacitor de 100uF el cual da 60 impulsos en un minuto y asociado
a un contador de 4 flip flops y por ultimo a un decodificador de
binario a cdigo bcd que se visualiza en un display de 7 segmentos
de nodo comn.

6 BIBLIOGRAFIA
http://www.datasheetcatalog.com/datasheets_pdf/7/4/L/S/74LS74.shtml
http://www.datasheetcatalog.com/datasheets_pdf/7/4/L/S/74LS90.shtml
http://www.datasheetcatalog.com/datasheets_pdf/7/4/L/S/74LS08.shtml
http://pdf.datasheetcatalog.com/datasheet/fairchild/NE555.pdf
http://www.datasheetcatalog.com/datasheets_pdf/T/D/S/TDS.11...shtml
http://es.slideshare.net/MiguelSanchez14/reloj-digital-ttl-24-horas

13

Você também pode gostar