Você está na página 1de 5

Datasheet

TetraMAX ATPG
Automatic Test Pattern Generation

Overview Key Benefits


TetraMAX ATPG automatically Improves product quality with comprehensive fault model support and
``
generates high quality manufacturing power-aware test patterns
test patterns. Its the only ATPG Increases designer productivity by leveraging integration with Synopsys test
``
solution optimized for a wide range compression tools
of test methodologies and integrated Generates test patterns for even the largest and most complex SoCs
``
with Synopsys patented DFTMAX Enables faster yield ramp by quickly isolating defect locations
``
and DFTMAX Ultra, the leading test
synthesis tools. The unparalleled ease- Features
of-use and high performance provided Extremely high capacity and performance
``
by TetraMAX ATPG allows RTL Multicore support for accelerated run time
``
designers to quickly create efficient, Integrated graphical user interface, hierarchy browser and simulation
``
compressed test patterns for even the waveform viewer
most complex designs. Comprehensive scan and compression design rule checking
``
Integrated fault simulator for grading structural test patterns
``
Silicon diagnostics with automatic defect isolation
``

Options
TetraMAX DSMTest option enables advanced fault models and
``
power-aware patterns
TetraMAX IDDQ Test option available for quiescent test validation
``
Integrated with Synopsys Yield Explorer for seamless volume diagnostics
``
and yield analysis

Testing Complex ASICs


With TetraMAX ATPG, designers can generate high-quality manufacturing test
patterns without compromising on high performance design techniques (Figure 1).
While such techniques may impede other ATPG tools, TetraMAX ATPG is able to
obtain coverage on the resulting complex logic.
TetraMAX ATPG supports internal three-state busses including implementations
with pull-ups, pull-downs and charge storage. Similar to three-state busses,
bidirectional I/O pads are also supported. To ensure ATE (automatic test equipment)
requirements are met, TetraMAX ATPG provides a number of options to generate
contention-free patterns for three-state logic.
Memory Shadow Testing
Logic with fault effects which pass into a DFTMAX Ultra / DFTMAX
memory element, and logic that requires Test DRC
Full-scan DFT
the outputs of the memory to set up a Scan compression
fault, are said to be in the shadow of
the memory (Figure 2). Typically, the
memorys shadow affects a significant
portion of the chip and causes a Netlist STIL
reduction in fault coverage. TetraMAX w/scan Protocol

ATPG supports behavioral models of the


memories to resolve the shadow effects
and increase overall fault coverage for TetraMAX ATPG
the circuit. High-performance ATPG

ATPG Design Rule Checking Integrated GUI


TetraMAX ATPGs design rule checker
(DRC) identifies chip-level test issues. Fault Simulator
Violations can be analyzed by viewing
them directly on the circuit using
TetraMAX ATPGs integrated graphical
schematic viewer (Figure 3). Detailed
Test Test
violation information is available with Patterns Reports
context-sensitive help. TetraMAX
ATPGs fast DRC checks for the
following problems: Figure 1: Integrated test flow using TetraMAX ATPG
Flip-flops which violate scan chain
``
design rules
Asynchronous logic which may
``
increase TetraMAX ATPG runtime or
reduce fault coverage RAM
Clock generation logic and three-
``
state busses that may be difficult to
control during TetraMAX ATPG
Test protocols which may cause
``
incorrect behavior on the tester Figure 2: TetraMAX ATPG delivers high test coverage on a wide range of design styles

TetraMAX ATPGs DRC supports


full scan and partial-scan test High Defect-Coverage Testing Advanced features unique to the
methodologies using mux-scan, TetraMAX DSMTest option:
Many manufacturing defects will not be
clocked-scan, level sensitive scan PrimeTime interface selects critical
``
caught without additional high defect-
design (LSSD) and proprietary schemes. timing paths
coverage testing that specifically targets
For maximum flexibility, TetraMAX ATPG Full support for on-chip clocking such
``
subtle nanometer defects.
accepts user-defined constraints and as PLLs
initialization patterns required for proper With the TetraMAX DSMTest option,
Easy-to-use flow with graphical
``
scan chain shifting. Complete support is designers and test engineers can easily
support for analysis and debug
provided for designs with IEEE 1149.1/6 generate transition delay, path delay
ATPG algorithms optimized for each
``
internal scan shifting protocols and (Figure 4), bridging or dynamic bridging
specific delay testing mode
related techniques that minimize the test patterns to further reduce defective
Tester-ready patterns with complete
``
number of external I/O pins required for parts per million (DPPM).
timing
ATPG.

TetraMAX ATPG 2
TetraMAX DSMTest for Slack-
based Transition Testing
TetraMAX DSMTest also enables ATPG
to target subtle small delay defects
inside ICs that could lead to failures
when devices operate at full speed.
Detecting these defects reduces DPPM
compared to levels achieved by only
using standard transition delay patterns
and lowers the cost of production
testing.

TetraMAX ATPG accesses precise


timing information from PrimeTime,
the industry's de factor sign-off static
timing analysis engine, to achieve the
timing resolution needed to accurately
target small delay defects (Figure 5). No
unnecessary yield loss occurs because
there is no need to test the parts at
faster-than-at-speed frequencies.
Included with the TetraMAX DSMTest Figure 3: TetraMAX ATPG provides high-performance ATPG and advanced
option, slack-based transition ATPG debug capabilities through its integrated graphical interface

provides the following capabilities


and benefits:
Ultra-high-quality testing
``
Highly-accurate timing information
``
read from PrimeTime
One-pass flow:
``
yy Slack-based ATPG for small
delay defects
yy Standard transition delay ATPG for
larger delay defects
User control of targeted delay
``
defect size Figure 4: TetraMAX ATPG DSMTest automates testing of critical paths

Reports and histograms, including:


``
yy Delay effectiveness metric
yy Statistical delay quality level failure of good devices on the tester, and Multicore Processing
(SDQL) metric unnecessary yield loss. For many design teams, turn-around
No design or DFT changes needed
`` TetraMAX ATPG for power-aware test time for pattern generation is critical.
limits power consumption during test TetraMAX ATPG takes advantage of the
Power-Aware ATPG by automatically reducing switching shared-memory architecture of widely
Scan testing typically increases activity to levels consistent with normal available multicore computing machines
transistor switching activity by many operation, based on designer-specified to significantly cut the time needed to
times their peak functional-mode power budgets. This is achieved without generate high-quality manufacturing
levels, leading to excessive power compromising test coverage or the tests. Optimizations in TetraMAX ATPG
consumption. Too much power cost-savings advantage of DFTMAX and ensure that runtime performance scales
consumption during test can lead to DFTMAX Ultra. well with the number of processor cores
unpredictable test results, including the used, reducing runtimes when run on

TetraMAX ATPG 3
2 to 32 cores while achieving the same
high test coverage and low pattern
count from operation on a uniprocessor
SDF, SDC,
machine. Multicore processing in Parasitics Exceptions
TetraMAX ATPG is easily enabled with a
single command line switch.

PrimeTime
IDDQ Testing
IDDQ testing is a method for enhancing
the quality of IC tests by measuring the
power supply current of a CMOS circuit.
Pin Slacks
Defect free CMOS circuits draw very
low levels of current during a quiescent
state. IDDQ levels are typically an order
of magnitude higher in the presence of TetraMAX ATPG
TetraMAX DSMTest
a silicon defect. IDDQ testingtargets
physical defects that create a
conduction path from the power supply Transition and Reports,
Reports,
to ground and result in excessive Small Delay histograms
Defect Patterns histograms
current draw.

TetraMAX ATPG generates a minimal


set of high fault coverage patterns for
Figure 5: TetraMAX small delay defect testing flow
IDDQ testing purposes, and constrains
the test patterns to avoid excessive
current during the quiescent state.
The TetraMAX IDDQ Test option then
accurately validates these patterns for
Tester Fail Data Design Layout
low quiescence using Synopsys VCS or
(STDF) (LEF/DEF)
other Verilog simulator, thereby ensuring
the IDDQ patterns will work on the ATE.

Silicon Diagnostics
TetraMAX Yield
In addition to identifying defective parts ATPG Explorer
from manufacturing, TetraMAX ATPG
can also isolate the location of defects
on devices that fail TetraMAX ATPG Figure 6: Integrated flow between TetraMAX ATPG and Yield Explorer
test patterns. Automatic and accurate
defect isolation is an important step
to diagnose critical yield issues, both
during production ramp as well as in diagnostics use advanced heuristics of failing parts are diagnosed with
volume manufacturing. TetraMAX ATPG and a high-performance fault simulator TetraMAX ATPG and Yield Explorer
diagnostics read the test patterns for rapid and reliable results in a volume correlates those with specific failure
and tester failure data, which are the manufacturing environment. mechanisms to determine the key
differences between measured and design or systematic issues that
expected responses to those test Failure and Yield Analysis are contributing to yield loss. Yield
patterns. They also report the fault TetraMAX ATPG is tightly integrated Explorer directly reads the accumulated
candidate locations that most likely with Yield Explorer for further analysis of diagnostics results from TetraMAX
explain the faulty device behavior diagnostics results. To perform volume ATPG and loads them into a complete
observed on the tester. TetraMAX ATPG diagnostics, hundreds or thousands database of previous diagnostics

TetraMAX ATPG 4
results, other test data, multiple Data Formats, Simulation
domains of design data, and if available, Testbenches and Tester
process data from the fab. Interfaces
TetraMAX ATPG and Yield Explorer TetraMAX ATPG supports popular
share standard interfaces for both industry standards for netlist and test
fail data from the tester as well as pattern formats:
physical design data. Physical data Circuit netlist: Verilog, VHDL
``
is important for both diagnostics and (87 and 93)
yield analysis. Diagnostics accuracy is Library: Verilog functional (Structural
``
significantly higher for defects caused and UDPs)
by metal shorts and opens when the Timing exceptions: SDC
``
layout topology is incorporated into the Design layout: LEF/DEF
``
diagnostics heuristics used by TetraMAX Simulation testbench: Verilog (serial
``
ATPG. For yield analysis physical data and parallel)
allows volume diagnostics results to be Test Patterns: STIL, WGL, Verilog
``
correlated with design-specific layout VCDE (input only)
characteristics and determine which Tester fails: STDF (V4 and V4-2007)
``
ones are the most sensitive to process
variability.

Synopsys, Inc. 700 East Middlefield Road Mountain View, CA 94043 www.synopsys.com
2013 Synopsys, Inc. All rights reserved. Synopsys is a trademark of Synopsys, Inc. in the United States and other countries. A list of Synopsys trademarks is
available at http://www.synopsys.com/copyright.html. All other names mentioned herein are trademarks or registered trademarks of their respective owners.
08/13.TL.CS3321.

Você também pode gostar