Você está na página 1de 11

INSTITUTO POLITCNICO NACIONAL

ESCUELA SUPERIOR DE CMPUTO

FUNDAMENTOS DE DISEO DIGITAL

PRCTICA No. 1
USO BASICO DEL IDE GALAXY

GRUPO: ______________

EQUIPO: ______________

INTEGRANTES: _______________________________________________________ ______


_______________________________________________________ ______
_______________________________________________________ ______

PROFESOR: _____________________________________________________

FECHA DE REALIZACIN: ______________________

FECHA DE ENTREGA: ______________________

COMENTARIOS DEL PROF: ____________________________________________________________

____________________________________________________________

____________________________________________________________

____________________________________________________________
I OBJETIVO GENERAL:

Al finalizar la prctica, el alumno ser capaz de implantar una funcin lgica en un


dispositivo lgico programable (PLD), del tipo GAL. Para ello se emplear el Ambiente de
Desarrollo Integrado (IDE Integrated Development Environment) Galaxy, de Cypress
Semiconductor Corporation. De esta forma el alumno comprender los conceptos de
herramienta CAD-EDA y aprender a comprobar fsicamente el correcto funcionamiento
de la funcin implantada.

II OBJETIVOS ESPECFICOS:

I. Aprender las caractersticas bsicas del IDE de Galaxy.


II. Aprender a describir un circuito, empleando un HDL.
III. Programar el dispositivo o PLD.
IV. Comprobar fsicamente, sobre un protoboard, el correcto funcionamiento de la
funcin programada.
V. Confirmar el conocimiento adquirido en clase.

III MATERIAL Y EQUIPO EMPLEADO

Mesa de instrumentacin, del laboratorio de sistemas digitales


Programador universal
Fuente de 5V
1 GAL22V10
1 DIP switch de 8 7 interruptores
7 Resistencias de 1K
4 Resistencias de 220
1 Resistencias de 330
4 LEDs

IV DESARROLLO

Antes de asistir al laboratorio:

1.- Escribir las funciones de salida para cada una de las compuertas lgicas.
2.- Dibujar el circuito resultante.
3.- Describir el circuito empleando un HDL (Hardware Description Language), de acuerdo
a sus tablas de verdad.
4.- Crear un proyecto en el IDE de Galaxy y simular su comportamiento.

En el laboratorio:

1.- Programar la GAL usando el programador disponible del laboratorio


2.- Verificar el correcto funcionamiento del circuito.

-2-
Entrada Salida
a b Sal1
0 0 0
0 1 0
1 0 0
1 1 1

Compuerta AND

Entrada Salida
c d Sal2
0 0 0
0 1 1
1 0 1
1 1 1

Compuerta OR

Entrada Salida
e f Sal3
0 0 0
0 1 1
1 0 1
1 1 0

Compuerta XOR

Entrada Salida
g Sal4
0 1
1 0

Compuerta Not

Tabla 1. Tablas de verdad de las compuertas lgicas.

4.1 Creando proyecto con el IDE Galaxy.

Una vez que el software esta instalado en el computador y listo para ser utilizado, los
pasos a seguir para trabajar con Galaxy son los descritos a continuacin:

1. Ejecutar el software Galaxy.


New
2. Se crea un archivo de texto mediante: File Text File y despus <OK>.
3. Se escribe el cdigo, mostrado en el cuadro 1, y despus se salva el archivo,
preferentemente con el nombre de la ENTIDAD, y con extensin *.vhd. (En este
caso se llama compuertas.vhd).

-3-
-- Compuertas lgicas
ENTITY compuertas IS
PORT (a, b, c, d, e, f, g : IN bit;
sal1, sal2, sal3,sal4: OUT bit);
END compuertas;

ARCHITECTURE flujo1 OF compuertas IS


BEGIN
sal1 <= a AND b;
sal2 <= c OR d;
sal3 <= e XOR f;
sal4 <= NOT g;
END flujo1;

Cuadro 1. Cdigo de las compuertas lgicas.

4. Una vez salvado el archivo se crea un proyecto, incluyendo dicho archivo. Esto se
hace de la siguiente manera:
New
a) File  Project [Target-Device]. Esto abre una ventana, como se
muestra en la figura 1. En Browse se introduce la ruta donde se salvar el
proyecto, en este caso con el mismo nombre (compuertas). Adems, se
selecciona el lenguaje que se va a utilizar (VHDL o Verilog), que en este caso
es VHDL.

Figura 1. Ruta y nombre del proyecto (Compuertas).

b) Se da clic en <siguiente> y aparece una nueva ventana que da la opcin para


agregar el archivo compuertas.vhd, creado anteriormente, dando clic en
<add> y <siguiente>. Esto ltimo abre una nueva ventana que permite
seleccionar el dispositivo a utilizar, ver figura 2. En sta prctica se utilizar un
dispositivo del tipo GAL22V10, que es un dispositivo SPLD del tipo C22V10.
En este caso se selecciona el PALCE22V10-25PC -15PC, segn sea su
retardo de programacin (speed (ns)) y se da <Finalizar>.

-4-
c) Finalmente, aparece una nueva ventana que pregunta si se desea salvar el
proyecto, dando en <si>.

Figura 2. Seleccin del tipo de dispositivo.

5. Se puede observar en la figura 3, que la ventana izquierda cambia. Esto es debido


al hecho de que ya es un proyecto. Se da clic con el botn derecho del ratn,
sobre compuertas.vhd, y se selecciona Set Top. Una vez hecho esto es posible
observar que el icono del archivo creado compuertas.vhd cambi, como se
puede observar en la figura 3.

Figura 3. Indicar el archivo principal o de mayor nivel (top level).

-5-
6. Ya con esto, se compila el archivo en: Compile  Selected File(s) y se ejecuta
dicho proceso. En la parte inferior de la ventana se despliega la informacin del
resultado de la compilacin. En caso de que la compilacin no fuese satisfactoria
es posible saber en donde estn los errores o advertencias. Para tener acceso a
dicha informacin es necesario cambiar a la pestaa que dice: Errors & Warnings
de la ventana inferior.
7. Para corroborar el funcionamiento correcto del programa es posible realizar la
SIMULACION FUNCIONAL del mismo. Para esto, se va a: Tool  Active-HDL
Sim, abrindose una nueva ventana.
8. En esta nueva ventana se abre el archivo compuertas.vhd, esto mediante:
Open VHDL y se selecciona el archivo localizado en la carpeta vhd, creada
File
por el proyecto. Se da <Abrir>. Al hacer eso se compila el programa apareciendo
comentarios en la consola, de la ventana de simulacin, como se muestra en la
figura 4.

Figura 4. Ventana que muestra la compilacin, en el software para la simulacin.

9. Para visualizar las seales a simular es necesario agregarlas a la ventana de


simulacin. Para agregarlas se selecciona: Waveform  Add signal.
10. Al ejecutar el paso anterior se abre una nueva ventana, como se muestra en la
figura 5, y se seleccionan las seales a monitorear.
11. En este caso se seleccionan las entradas a, b, c, d, e, f y g y las salidas sal1,
sal2, sal3 y sal4, para posteriormente agregarlas dando clic en el botn <Add>.
Una vez hecho esto, aparecern todas las seales en pantalla, como se muestra
en la figura 6. Es posible ordenarlas como al usuario convenga.
12. En el caso de las seales de entrada es necesario excitar o asignar valores para
su simulacin. Esto se hace dando clic con el botn derecho sobre cada seal, por
ejemplo la seal a, y dando clic en Stimulators, esto hace que se abra una
nueva ventana, como se muestra en la figura 7.

-6-
Figura 5. Ventana que muestra las seales a monitorear en la simulacin.

Figura 6. Ventana de simulacin con las seales a excitar y monitorear

13. En la nueva ventana, se indican el tipo de estimulo, en esta caso daremos:


Stimulator type: value, Strength: Override, Force value: (valor que deseamos
asignar, en este caso ser 0). Para que tenga efecto se da clic en <Apply>. Esto
se hace en cada seal (a, b, c, d, e, f, g, sal1, sal2, sal3 y sal4) y en cada
asignacin se da <Apply>, como se muestra en la figura 8.

-7-
Figura 7. Ventana de simulacin para asignar los estmulos a las entradas.

Figura 8. Ventana de simulacin con los primeros estmulos asignados.

14. Para observar la simulacin, con dicho estimulo asignados, es necesario ejecutar
dicha opcin. Esto se hace en: Simulation  Run for o F5. Al hacer esto se
muestran primeros valores de entrada asignados y se generan los valores de las
salidas (sal1, sal2, sal3 y sal4), como se aprecia en la figura 9.

-8-
Figura 9. Ejecucin de la simulacin de los primeros vectores de prueba.

15. Se pueden hacer todas las posibles combinaciones de entrada, para observar si el
circuito funciona correctamente. De esta manera se obtiene la figura 10.

Figura 10. Simulacin completa.

16. Una vez que se haya revisado el correcto funcionamiento, en simulacin, del
sistema diseado es necesario saber en que terminales se encuentra cada seal
de entrada/salida. El archivo para su conexionado, es el reporte de salida Output
Files, de la ventana izquierda del proyecto, en donde se tiene el archivo
compuertas.vhd. Para tener acceso al archivo de reporte se tiene que cambiar de
pestaa, localizada en la parte inferior de la misma ventana, como se muestra en
la figura 11. Dando doble clic en el archivo compuertas.rpt se puede observar su
-9-
contenido, el cual indica las terminales asignadas a cada archivo y el uso del
dispositivo, como muestra en el cuadro 2 y adicionalmente se encuentra la
informacin de las terminales asignadas a cada seal de nuestro proyecto, como
se muestra en el cuadro 3.

Figura 11. Reporte del diseo localizado en Output Files View.

Information: Output Logic Product Term Utilization.

Node# Output Signal Name Used Max


________________________________________
| 14 | sal3 | 2 | 8 |
| 15 | sal2 | 1 | 10 |
| 16 | Unused | 0 | 12 |
| 17 | Unused | 0 | 14 |
| 18 | Unused | 0 | 16 |
| 19 | Unused | 0 | 16 |
| 20 | Unused | 0 | 14 |
| 21 | Unused | 0 | 12 |
| 22 | sal1 | 1 | 10 |
| 23 | sal4 | 1 | 8 |
| 25 | Unused | 0 | 1 |
________________________________________
5 / 121 = 4 %

Cuadro 2. Informacin de los trminos productos que posee mximos (Max) y los
utilizados (Used) por el dispositivo, para esta aplicacin.

- 10 -
Information: Checking for duplicate NODE logic.
None.
C22V10
__________________________________________
g =| 1| |24|* not used
f =| 2| |23|= sal4
e =| 3| |22|= sal1
d =| 4| |21|* not used
c =| 5| |20|* not used
b =| 6| |19|* not used
a =| 7| |18|* not used
not used *| 8| |17|* not used
not used *| 9| |16|* not used
not used *|10| |15|= sal2
not used *|11| |14|= sal3
not used *|12| |13|* not used
__________________________________________

Cuadro 3. Informacin de las terminales asignadas automticamente.

17. PROGRAMACION DEL DISPOSITIVO: Para descargar el programa al dispositivo


es necesario utilizar un programador. Para esto se requiere portar el archivo que
se desea programar, el cual tiene terminacin jedec (mux.jed), e ir al programador
del laboratorio. En el laboratorio se tiene un programador con un software para
realizar dicho propsito. En el software se selecciona el archivo e indica a que
dispositivo se va a descargar, para esto previamente se habr insertado el
dispositivo en la base del programador y se procede a programarlo.

4.2 Diagrama del circuito y prueba.

NOTA: Agregar diagrama de interconexin

Figura 4.2. Diagrama de la interconexin del circuito 1.

V OBSERVACIONES Y CONCLUSIONES

(Son individuales)

VI BIBLIOGRAFA

El alumno puede consultar bibliografa y anotar la referencia. Si consulta una pgina


de Internet sta debe ser seria y deber anotar dicha direccin.

- 11 -

Você também pode gostar