Você está na página 1de 6

Log In Sign Up

Questions Jobs Documentation Tags Users Search...


BETA

x Dismiss

Join the Stack Overflow Community

Stack Overflow is a community of 7.2 million


programmers, just like you, helping each other.
Join them; it only takes a minute:

Sign up

... .....
How to write to two output ports from inside architecture in VHDL?

. .
Ask Question

asked 4 years, 9 months ago

viewed 5796 times

active 4 years, 9 months ago

I encountered a problem when trying to connect a component to two output ports of parent hierarchy in
VHDL. Since the physical connection can be done only via "port map" statement, there is no way to
0 connect local signal to more than one output port. Here is an example:

open in browser PRO version Are you a developer? Try out the HTML to PDF API pdfcrowd.com
............
. .
..........
........
Linked
1
[VHDL]Using signal to drive output ports,why are
the output ports not visible?

The description of the above circuit should be smth. like this:


Related

entity HIER is 5
port (
IN1 : in bit;
OUT1, OUT2 : out bit); How to specify an integer array as generic in
end hier; VHDL?

architecture HIER_IMPL of HIER is


1
component BUF is
port (a : in bit; o : out bit);
end component; How to assign multiple values to multiple ports in
begin VHDL
BUF1 : BUF port map (a => IN1, o => OUT1, o => OUT2);
end HIER_IMPL;
1
However, double assignment of output port "o" to both OUT1 and OUT2 won't work as it is prohibited Top level using port maps with records in VHDL
in VHDL.
0
variable-assignment instantiation vhdl
VHDL Component Port Mapping Issues
share improve this question asked Aug 27 '12 at 14:29
0
Port Map-ing to ground in VHDL
mkostya
193 4 13
open in browser PRO version Are you a developer? Try out the HTML to PDF API pdfcrowd.com
193 4 13
1

Wouldn't it be better to have just one OUT port and connect OUT to both ports where OUT1 and OUT2 are
connected to? simon Aug 28 '12 at 7:10 [VHDL]Using signal to drive output ports,why are
the output ports not visible?
add a comment

0
1 Answer active oldest votes How to map a port in VHDL?

3
Is there a reason why you cannot create an internal signal and use that signal to drive the two output
ports like this? VHDL - Port mapping - Map different ports of a
7 component into different entities
entity HIER is
port (
IN1 : in bit; 0
OUT1, OUT2 : out bit);
end hier; Warning of an unconnected output port in UART's
VHDL code
architecture HIER_IMPL of HIER is
signal temp : bit;
component BUF is 1
port (a : in bit; o : out bit);
end component;
How to implement a 4 bit ALU in VHDL using an
begin
opcode
BUF1 : BUF port map (a => IN1, o => temp);
OUT1 <= temp;
OUT2 <= temp; Hot Network Questions
end HIER_IMPL; Remove spaces from macro argument

Why do ISS crew members often cross their


If this is not possible, how about this? arms?

Is it possible to design a two player game of skill


entity HIER is with absolutely no luck?
port (
IN1 : in bit; Why is my understanding of unbalanced forces
OUT1, OUT2 : out bit); wrong?
end hier; How many parabolas can be formed from 3
points? (If we allow rotation)
architecture HIER_IMPL of HIER is
component BUF is RAII vs. Garbage Collector
port (a : in bit; o : out bit);
end component; What is the alternate way to eliminate our Bad
Karmas equal in doing Penance?
begin
open in browser PRO version Are you a developer? Try out the HTML to PDF API pdfcrowd.com
BUF1 : BUF port map (a => IN1, o => OUT1); How do I say in German "It doesn't matter"?
BUF2 : BUF port map (a => IN1, o => OUT2);
end HIER_IMPL; Can I pour a solution into another vessel with no
air bubbles?

share improve this answer answered Aug 27 '12 at 14:50 Covfefify a string

Display the Hacker Logo

Josh Different number, same weight


2,731 14 33
Security implication of telling the user they can't
login because of too many attempts from IP
2 The first piece of code should work just fine. One just needs a signal to connect more than two IOs together. Security concerns issuing wildcard certificates to
Kamil Domaski Aug 27 '12 at 14:57 individual employees

Thanks! My intension was assignment-free solution. It looks like it is impossible to do it without assignments. Sum of Squares of odd numbers
The second option is not acceptable as it involves two buffers. mkostya Aug 28 '12 at 10:18
Worth writing, if end is obvious
@mkostya Please accept this answer if it solves your problem. Josh Aug 31 '12 at 12:40
Wife agrees with parenting, but is less strict on
add a comment purpose

Display Apex Exception message nicely in


Lightning toast

Your Answer How does a single thread run on multiple cores?

Is this map of terrorist attacks in Europe


accurate?

How do I translate a Windows SID to an SQL


Server server_user_sid?

Identify a scifi movie with a talking space-ship

Does command stop a creature taking


reactions?

Dare to guess what I am?

open in browser PRO version Are you a developer? Try out the HTML to PDF API pdfcrowd.com
Sign up or log in Post as a guest

Sign up using Google Name

Sign up using Facebook


Email

required, but never shown


Sign up using Email and Password

Post Your Answer

By posting your answer, you agree to the privacy policy and terms of service.

Not the answer you're looking for? Browse other questions tagged variable-assignment instantiation vhdl

or ask your own question.

question feed

about us tour help blog chat data legal privacy policy work here advertising info developer jobs directory mobile contact us feedback

TECHNOLOGY LIFE / ARTS CULTURE / SCIENCE OTHER


RECREATION

Stack Overflow Geographic Information Code Review Photography English Language & MathOverflow Meta Stack Exchange
Systems Usage
Server Fault Magento Science Fiction & Mathematics Stack Apps
Electrical Engineering Fantasy Skeptics
Super User Signal Processing Cross Validated (stats) Area 51
Android Enthusiasts Graphic Design Mi Yodeya (Judaism)
Web Applications Raspberry Pi Theoretical Computer Stack Overflow Talent
Information Security Movies & TV Travel Science
Ask Ubuntu Programming Puzzles &
Database Code Golf Music: Practice & Theory Christianity Physics
Webmasters Administrators

open in browser PRO version Are you a developer? Try out the HTML to PDF API pdfcrowd.com
Administrators
more (7) Seasoned Advice English Language Chemistry
Game Development Drupal Answers (cooking) Learners
Biology
TeX - LaTeX SharePoint Home Improvement Japanese Language
Computer Science
Software Engineering User Experience Personal Finance & Arqade (gaming)
Money Philosophy
Unix & Linux Mathematica Bicycles
Academia more (3)
Ask Different (Apple) Salesforce Role-playing Games
WordPress more (8)
ExpressionEngine Anime & Manga
Development Answers
Motor Vehicle
Cryptography Maintenance & Repair

more (17)

site design / logo 2017 Stack Exchange Inc; user contributions licensed under cc by-sa 3.0 w ith attribution required
rev 2017.6.2.26139

open in browser PRO version Are you a developer? Try out the HTML to PDF API pdfcrowd.com

Você também pode gostar