Você está na página 1de 7

Universidad nacional de ingeniera UNI-IES

Carrera: Ingeniera en computacin


Asignatura: Arquitectura de mquinas II

Integrantes: -Daniel Serrano Moiceenko


-Emanuel Torres Moreno

Tema: Diseo de una ALU (unidad aritmtica-lgica)


Docente: Jaqueline Montes
Introduccin
Qu es la ALU?
La unidad aritmtica/ lgica es un contador digital capaz de realizar las operaciones
aritmticas y lgicas entre los datos de un circuito; suma, resta, multiplica y divide,
as como establece comparaciones lgicas a travs de los condicionales lgicos si,
no, y, o.

Operaciones en la ALU
Con respecto a cualquier microprocesador, las instrucciones involucran operaciones
sobre un operando, o entre dos de ellos, estando uno de los mismos almacenado
en el registro acumulador que es el registro de trabajo de cualquier ALU, por lo que
en algunos casos suele denominarse registro W. El tipo de operaciones que puede
realizar una ALU, pueden resumirse as:
1. Suma aritmtica
2. Resta aritmtica (complemento a 2)
3. operaciones lgicas
4. Desplazamiento o rotacin
5. No operar (transferencia)

Implementacin de ALU EN VHDL


Qu es VHDL?
VHDL es un lenguaje de especificacin definido por el IEEE utilizado para describir
circuitos digitales y para la automatizacin de diseo electrnico. VHDL es acrnimo
proveniente de la combinacin de dos acrnimos: VHSIC (Very High Speed
Integrated Circuit) y HDL (Hardware Description Language). Originalmente, el
lenguaje VHDL fue desarrollado por el departamento de defensa de los Estados
Unidos a inicios de los aos 80 basado en el lenguage de programacin ADA con
el fin de simular circuitos elctricos digitales.
Por qu usar un lenguaje de descripcin hardware?
- Poder descubrir problemas en el diseo antes de su implementacin fsica.
- La complejidad de los sistemas electrnicos crece exponencialmente, es necesaria
Una herramienta que trabaje con el ordenador.
- Permite que ms de una persona trabaje en el mismo proyecto
Un diseo en VHDL est compuesto por:
- La entidad (ENTITY): Define el smbolo del circuito, es decir, sus entradas y
salidas.
- Arquitectura (ARCHITECTURE): Permite definir el funcionamiento del
mdulo.

En VHDL Las entradas y salidas estn compuestas por el nombre, modo y


tipo:

Entrada1: in STD_LOGIC_VECTOR

Nombre modo tipo

Nombre
Los nombres deben cumplir los siguientes requisitos:
-Al declararse deben empezar con letras, nmero y guion bajo.
-El primer carcter debe ser una letra.
-El ultimo carcter no puede ser un guion bajo.
-No puede haber dos guiones bajos seguidos.
-No es sensible a maysculas y minsculas.

Modo
In: Seales de entrada
Out: Seales de salida.

Tipos
Son los valores que puede tomar la entrada/salida tipos ms utilizados en
VHDL son:
-Bit: toma valores de 0 y 1 logicos
-Bit_vector: representa un vector de bits.
-STD_LOGIC: Standard Logic.
-STD_LOGIC_VECTOR: Standard Logic Vector.

Circuitos combinacionales en VHDL


Su salida es solo en funcin de la entrada. Para la realizacin de estos
existen tres sentencias bsicas de asignacin:

-Sentencia de asignacin simple (<=)


-Sentencia de seleccin de seal (with select)
-Sentencia de asignacin condicional (When else)
Asignacin simple
Asigna una entrada a una salida:

Salida <= entrada1

Sentencia de seleccin with select

Sentencia de seleccin when else


Diseo de la ALU
Especificaciones de la ALU a disear:

-Dos operandos de 8 bits


-Resultado de o bits
-La operacin se escoge con una entrada de 3 bits.
Funcionamiento de la ALU
Codigo del programa

Grafico del RTL (sintetizador)

Você também pode gostar