Você está na página 1de 147

An Ultra Low Power ADC for Wireless

Micro-Sensor Applications
by
Naveen Verma
B.A.Sc., Electrical Engineering and Computer Engineering, University
of British Columbia
Submitted to the Department of Electrical Engineering and Computer
Science
in partial fulfillment of the requirements for the degree of
Master of Science in Electrical Engineering and Computer Science
at the
MASSACHUSETTS INSTITUTE OF TECHNOLOGY
June 2005

( 2005 Massachusetts Institute of Technology. All rights reserved.

Author
.........../ (/ - -->I
Author ./.-,i................ ..
. /

Department of Electrical Engineering and Computer Science


. . . . . . . . . . .. . . . . . .. .

May 16, 2005

Certified by ............................ '. .... J.......................


Anantha P. Chandrakasan
Professor of Electrical Engineering
,,.. '.j. Thesis Supervisor
fY -1..

Accepted by ........ -.. .. .......... ...


.. ........................
Arthur C. Smith
Chairman, Departmental Committee on Graduate Students

ARCHIVES
An Ultra Low Power ADC for Wireless Micro-Sensor
Applications
by
Naveen Verma

Submitted to the Department of Electrical Engineering and Computer Science


on May 16, 2005, in partial fulfillment of the
requirements for the degree of
Master of Science in Electrical Engineering and Computer Science

Abstract
Autonomous micro-sensor nodes rely on low-power circuits to enable energy har-
vesting as a means of sustaining long-term, maintenance free operation. This work
pursues the design of an ultra low-power analog-to-digital converter (ADC) whose
sampling rate and resolution can be scaled to dynamically recover power savings.
The proposed ADC has a sampling rate of 0-100 kS/s and a resolution of either
12 or 8 bits. The design is based on the successive approximation register architec-
ture (SAR), which is suitable for scaleable, micro-power operation. Specifically, the
number of active blocks has been minimized to allow efficient power-gating, which, in-
turn, has been leveraged to implement scalability features. Several new techniques to
improve the efficiency of the ADC have been developed and employed. Analog offset
calibration in the regenerative latch is used, to improve the power-delay product of
the comparator; pre-amplifier cascade optimization is performed with consideration to
thermal noise limitations; weak-inversion biasing is employed in the active amplifiers;
passive switch-capacitors are used to generate the auto-zero reference voltage such
the CMRR of the ADC is maximized; integrated capacitors are laid-out in a new
common-centroid arrangement that minimizes edge effects; finally, the sub-DAC's
transmission gain is adjusted to reduce non-linearities caused by the attenuating ef-
fects of parasitics.
The ADC has been fabricated in a 0.18,/m CMOS technology. All circuits are
powered using a V supply, though bootstrapping is used internally. At a resolution
of 12-bits, and a sampling rate of 100 kS/s, the power consumption of the entire
ADC core is 2 6/W. The SNDR of the converter with a 48 kHz input tone is 65dB
(10.55 ENOB) and the SFDR is 71dB. The power consumption decreases linearly
with sampling rate, and is measured to be approximately 200nW at 500 S/s.

Thesis Supervisor: Anantha P. Chandrakasan


Title: Professor of Electrical Engineering

3
4
Acknowledgements
This thesis has been a major accomplishment. It is not, however, my accomplishment
alone. The immense support and friendship I've received from so many over the course
of my degree and education so far, is perhaps the aspect most worth remembering.
Let me start by thanking them here.
Firstly, I must express my gratitude to my advisor and role model, Professor
Anantha Chandrakasan. Anantha forces me to do my best; how is it that I can spend
months analyzing a problem, and the first time I present it to him, I get a question
I hadn't even thought of? But, most importantly, I thank Anantha for giving me
something to strive towards.
Of course, non-technically, the biggest impact in my life is courtesy of my mom and
dad. Saying thank you to you guys is too trivial and inconsequential for everything
you have done and meant. I only hope that you know, as you always do, how much
your support means to me on a daily basis.
My sisters, Angelee and Serena, have played a very important role, not only in
my life, but specifically in the completion of this thesis. Thank you, both, for making
it such a high priority to keep in tact my ability to write prose. Of course, your
encouragement has meant even more.
Engineering education, a microcosm of life, is an arduous process. I've gotten
support, all the way, from my good friend, Sean. Neither of us quite fits into the EE
scene, but we get each other through it, and, at the end of it, we have a good laugh.
There is a group of masters who took it personally to make sure I understood
what engineering really is: a tall order, considering, at the time, I barely understood
V=IR. Thank you Dr. Lawrence, Dr. Jaeger, Dr. Pulfrey, and Dr. Salcudean.
Here at MIT, I wish to thank everyone from Ananthagroup. I'm lucky to be
associated with such a bright group, that continually inspires me to be more creative.
Finally, I would like to acknowledge National Semiconductor for providing fabri-
cation services for the prototype chip, as well as their development help and CAD
support.

5
6
Contents

1 Introduction 19
1.1 Requirement Specifications ..........
1.1.1 Resolution ..............
..............
..............
20

20

1.1.2 Sampling Rate ........... .............. 21

1.1.3 Scalability ............... .............. 21

1.1.4 Input Interface ............ .............. 22

1.2 Architecture Selection ............ .............. 22

2 Precision Limitations 25
2.1 Low Overdrive of MOS Switches ....... .. . . . .. . . . . . . . 25

2.2 Charge Injection Errors ............ .. . . . .. . . . . . . . 26

2.3 Mismatch of Passive Elements ........ .. . . . .. . . . . . . . 27

2.4 Mismatch of Active Elements ........ . . . . . . . . . . . . . . 27

2.5 Device Noise ................. . . . . . . . . . . . . . . 29

2.6 Threshold Voltage Hysteresis ......... . . . . . . . . . . . . . . 30

2.7 Other Error Sources ............. . . . . . . . . . . . . . . 31

2.8 Summary .................. . . . . . . . . . . . . . . 31

3 Successive Approximation Conversion 33


3.1 Basic Operation ...............
3.2 Differential Successive Approximation ADC
..............
..............
33

35
3.3 Analysis of Error Sources ........... .............. 37

3.3.1 Capacitor Mismatch ........ .............. 39

7
3.3.2 DAC Parasitics .......................... 39

3.3.3 Reference Voltage Error ..................... 41

3.3.4 Comparator Offset ....................... 41

3.3.5 Sampling Switch Non-Linearity ................. 42

3.3.6 Comparator Thermal Noise ................... 42


3.4 Summary ................................. 43

4 Architecture Design and Theory 45


4.1 Global Architecture and Concepts .................... 45

4.1.1 Conversion Plan ......................... 45

4.1.2 Sample Rate Scaling ...................... 47


4.1.3 Resolution Modes ........................ 50

4.1.4 Self-Timed Bit Cycling ...................... 51

4.2 Block Architecture ........................... 54

4.2.1 Comparator Architecture .................... 54

4.2.2 DAC Architecture ......................... 65

4.2.3 SAR Architecture ........................ 72

4.3 Summary ................................. 73

5 Circuit Design 75
5.1 DAC Circuit Design ........................... 75

5.1.1 Switch Network ......................... 76

5.1.2 Capacitor array ......................... 83

5.2 Comparator Circuit Design ........................ 91

5.2.1 Preamplifers. ........................... 92

5.2.2 Offset-Calibrating Latch ..................... 96

5.2.3 Latch Level Restorer ....................... 106

5.3 SAR Circuit Design ............................ 107

5.4 Clock Manager .............................. 111

5.5 Charge Pump and Voltage Multiplier .................. 112

8
6 Testing and Characterization 115
6.1 Test Setup .................
6.2 Characterization .............
................
................
115

118
6.2.1 Static Linearity ......... ................ 118
6.2.2 Dynamic Noise and Linearity . . ................ 120
6.3 Power Consumption ........... ................ 124
6.4 Comparision Study ............ ................ 125
6.5 Summary ................ ................ 128

7 Discussions and Future Work 129


7.1
7.2
Effects of Applied optimizations
Future W ork ..................
........
.............
.............
130

131
7.2.1 Digital Optimization ......... ............. 132
7.2.2 Programmable On-Chip Post-Filtering ............. 132
7.2.3 Resolution Scalable DAC ........ ............. 132
7.2.4 Active Input Switch .......... ............. 132

A ADC Fundamentals 135


A.1 Linear Signal Processing . . . . . . . . . . . . . . . . . . . . . . . 135
A.1.1 Ideal ADC Model . . . . . . . . . . . . . . . . . . . . . . . 136
A.1.2 Quantization Noise . . . . . . . . . . . . . . . . . . . . . . 136
A.2 Non-Ideal ADC Model .... . . . . . . . . . . . . . . . . . . . . 137
A.2.1 Effective Resolution . . . . . . . . . . . . . . . . . . . . . 138
A.2.2 Random Noise in SAR ADCs .. . . . . . . . 138
A.3 Performance Normalization . . . . . . . . . . . . . . . . . . . . 139
A.3.1 SamplingRate . . . . . . . . . . . . . . . . . . . . . . 139
A.3.2 Resolution ...... . . . . . . . . . . . . . . . . . . . 140
A.3.3 Figure of Merit .... . . . . . . . . . . . . . . . . . . . 141

9
10
List of Figures

1-1 Existing designs in a power-input frequency space (data courtesy B.


Ginsburg, MIT) ............................. 23

1-2 Existing designs in a power-resolution space (data courtesy B. Gins-


burg, MIT) . ................................ 24

2-1 Effective resistance of a CMOS Transmission Gate .......... 26

2-2 Origin of charge injection error in a sampling circuit ......... 26

2-3 Gain compression of a low voltage amplifier .............. 28

3-1 Logical block diagram of a successive approximation register ADC. 34


3-2 SAR sampling phase........................... 35

3-3 SAR bit cycling of MSB.......................... 36


3-4 Fully Differential DAC during sampling ................ 37
3-5 Errors in 3 bit ADC transfer characteristics: (a)Ideal case (b)Offset
error (c)Gain error (d)Linearity error [22]............... 38
3-6 Effect of capacitor array top-plate parasitics (a)During sampling (b)Dur ing
bit-cycling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40
3-7 Effect of errors in Vref,p ......................... 41
3-8 Equivalent sample-and-hold circuit. ................... 42

4-1 Block diagram of the final ADC. ................. .... 46


4-2 Final ADC conversion plan for (a)12-bit mode (b) 8-bit mode. .... 47
4-3 Waveforms showing power-gating control ............ .... 50
4-4 Waveforms showing standard bit-cycling signals......... .... 52
11
4-5 Waveforms showing self-timed bit-cycling signals ........... . 53

4-6 Delay for amplifiers with various output resistances ........... 56

4-7 Comparator gain structures (a)Linear amplifier cascade (b)Regenerative


amplifier .................................. 57

4-8 Power-delay (normalized to CL) versus total gain for linear and regen-
erative amplifiers .............................. 58

4-9 Auto-zeroed multi-stage preamplifiers.................. 60


4-10 Amplifier network (a)During auto-zeroing (b)During bit-cycling ... . 61

4-11 Normalized total power-delay of auto-zeroed amplifier ........ .. . 63

4-12 Effect of changing GM at constant amplifier power-delay ....... . 64

4-13 Architecture of final comparator .................... ......... . 65


4-14 Architecture of final DAC ............................... 66

4-15 Typical main-DAC/sub-DAC implementations.............. 68


4-16 Fully passive main-DAC and sub-DAC .................. 68

4-17 Thevenin equivalent circuit for analyzing passive sub-DAC ....... 69

4-18 Differential-mode offset due to varying auto-zeroing voltage..... . 70


4-19 Common-mode independent charge sampling .............. 71
4-20 Passive auto-zero reference voltage generation using capacitor arrays. 71

5-1 Implementation of switch sets in switch matrices (a)MSB switch set for
positive array (b)Bits 10-0 switch set for positive array (c)MSB switch
set for negative array (d)Bits 10-0 switch set for negative array. ... . 76

5-2 DAC network (a)During purging (b)During sampling .......... 77

5-3 Distribution of injection error determined by poorly matched top-plate


parasitic capacitances ........................... 78
5-4 Qualification of BCYCLEN and BCYCLEN signals with delayed
sampling signals.......................................... . 79
5-5 Equivalent Resistance of input switch with respect to input voltage. 80

5-6 Parasitic PN-junction on top-plate .................... 81

12
5-7 Waveforms showing the origin of a transient spike during MSB bit-
cycling ............................................... 83
5-8 Zoom-in of DAC differential output voltage as it recovers from a neg-
ative spike to the correct positive voltage ................ 84
5-9 Implementation of purging switches .................... 85
5-10 Capacitor mismatch leading to largest DNL............... 85
5-11 Effect of parasitic capacitance on sub-DAC top-plate .......... 86
5-12 Errors in ADC transfer characteristic due to compression in sub-DAC
interpolation ................................ 87
5-13 INL due to 200fF parasitic capacitance on top-plate of sub-DAC.... 88
5-14 INL after adjustment of coupling capacitance Cc ........... . 88
5-15 INL, after Cc adjustment, resulting from errors in estimation of para-
sitic capacitance .............................. 89
5-16 Capacitor array layout (a)Conventional common-centroid (b)Equal-
edge ratio common-centroid........................ 90
5-17 Bottom-plate to top-plate and dummy array coupling in poly-poly ca-
pacitor arrays ................................ 90
5-18 Implementation of auto-zeroing switches (a)First and second stage of
12-bit cascade (b)Last stage (only stage for 8-bit path) ......... 91
5-19 Preamplifier circuit......................................... 92
5-20 DAC and preamplifier waveforms during overdrive recovery ....... 94
5-21 Change in cascade time-constant with respect to fractional changes in
G ivy. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96
5-22 Preamplifier biasing source.................................. . 97
5-23 Simplified schematic of complete offset-calibrating latch .......... . 98
5-24 Offset compensating latch during auto-zeroing.............. 99
5-25 Block diagram of latch calibrating circuit ................ 100
5-26 Latch voltage-follower calibration circuit ................. 100
5-27 Latch voltage-followerequivalent circuit during first half of auto-zeroing
phase ................................................ 101

13
5-28 Latch circuit waveforms during the auto-zeroing phase......... 102
5-29 Offset compensating latch during reset-resolve phase ......... . 103
5-30 Latch circuit waveforms during the reset-resolve phase ........... . 104
5-31 Replica biasing circuit for latch ...................... 105

5-32 Gain and phase of filtered and unfiltered auto-zeroing calibration circuit. 107

5-33 Latch level restoring circuit........................ 108


5-34 Latch level restorer waveforms ...................... 108

5-35 SAR state machine circuit ................................. 109

5-36 Metastability recovery circuits (a)Metastability detector (b)Metastbility


reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110
is used to. .en-.
5-37 Circuitry supporting resolution scaling. SLEEP signal isused to en-
force power-gating ... ....... ........ .. 111
5-38 Internal clock gating circuitry.............. ........ .. 111
5-39 Charge pump circuit to generate voltages beyond VDD. ........ 112
5-40 NMOS Dickson voltage multiplier [39].. .... ........ 113
5-41 Voltage muliplier simulation ............... . . . . . . . . 113

6-1 Micrograph of fabricated test chip................... 116

6-2 Micrograph of full ADC with offset calibrating latch ......... 116

6-3 ADC Test setup ............................. 117

6-4 ADC Test PCB photograph. ....................... 118

6-5 Code density histogram of ADC in 12 bit mode............. 119

6-6 DNL and INL of ADC in 12 bit mode ................. 120

6-7 Code density histogram of ADC in 8 bit mode.............. 121

6-8 DNL and INL of ADC in 8 bit mode. .................. 121

6-9 ENOB versus input frequency for the ADC in 12 bit mode and 8 bit
m ode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122

6-10 FFT of ADC output with 47.3kHz input tone.............. 123

6-11 ADC power consumption with respect to sampling rate......... 124

14
6-12 Figure-of-merit of this ADC compared with previous implementations
(data courtesy B. Ginsburg, MIT) ................... ........ . 126
6-13 Power consumption of SAR ADCs with respect to input frequency
(data courtesy B. Ginsburg, MIT) . . . . . . . . . . . . . . . . . . . . 127

6-14 Power consumption of SAR ADCs with respect to resolution (data


courtesy B. Ginsburg, MIT).............................. 127

A-1 Ideal model of an ADC ......................... ........... 136

15
16
List of Tables

6.1 Simulated and measured power consumption of ADC blocks ...... 125
6.2 ADC performance summary . . . . . . . . . . . . . . . . . . . . . . . 128

17
18
Chapter 1

Introduction

Wireless sensor networks have received a lot of attention recently by various sectors of
the research community. Although protocols and requirement specifications are still
being defined at the communication, network architecture, node architecture, and
circuit levels, design constraints and applications are already emerging. The vision of
a micro-sensor network includes dense, intelligent nodes that are energy-autonomous
and that operate and are deployed in an ad-hoc manner. Such networks have diverse
applications ranging from military surveillance, reconnaissance, and damage assess-
ment to environmental forest fire detection [1] and industrial process monitoring.
The design of micro-sensor node hardware is constrained by several factors, many
of which can be derived from collective considerations of the target applications. To be
energy-autonomous, nodes must be powered entirely by an energy harvesting source.
This places demanding, low-energy requirements on the constituent circuits. Ad-hoc
deployment and operation requires that nodes be fault tolerant and able to adapt to
unpredictable environments and network characteristics. Finally, high density and
ubiquity places a cost constraint on nodes, reducing their acceptable price per unit
to a few cents.
Fundamentally, the architecture of an intelligent sensor network node must consist
of an analog-to-digital conversion front-end (ADC), a digital signal processor (DSP),
and a short range radio. Additionally, a power subsystem, which might be a simple
as an energy scavenger regulator or as sophisticated as a dynamic voltage scaling DC-

19
DC converter, is a highly desirable peripheral [2][3]. This document will focus on the
development of an ultra low power ADC suitable for sensor network nodes. Although
design specifications and optimizations will be undertaken with this application in
mind, the circuit techniques developed, and indeed the final ADC, will be useful for
a variety of low power systems.
The remainder of this chapter discusses the preliminary considerations associated
with ADC design. Namely, Section 1.1 examines the target application and derives
the performance requirements. Additionally, features beneficial, but not limited,
to sensor applications are specified here. Section 1.2 surveys existing designs as a
means of identifying ADC architectures that would be appropriate for this, low-power,
implementation.

1.1 Requirement Specifications


Within the vast application space encompassed by wireless sensor networks, acoustic
monitoring of a battle field environment is an example that requires a sophisticated
sensor node and, in particular, a non-trivial ADC. Consequently, it is a good vehicle
for developing a system of general value. The performance requirements and fea-
tures specified in the following subsections have largely been derived by anticipating
possible functions and algorithms the sensor nodes might perform. In an effort to
maintain broad usefulness, aggressive requirements, that also provide some academic
gratification, have been specified.

1.1.1 Resolution
In the case of an acoustic sensor front-end, the microphone, preamplifier (if it is
present), and ADC all impose noise and distortion on the system. The microphone,
can have a dynamic range in excess of 100dB [4][5]. The preamplifier, at the cost of
power consumption, may be designed for virtually any dynamic range desired. In this
case, the ADC limits the dynamic range of the front-end. As explained in Appendix
A, all ADCs introduce quantization noise, limiting the sensor system's ability to

20
detect minute signals.
The magnitude of acoustic activity is measured by sound-level or sound pressure
level (SPL). The SPL associated with a quiet field is approximately 30dB, while that
associated with a large (military) vehicle, one meter away, is approximately 100dB.
Consequently, 70dB, which corresponds to just under 12 bits of quantization noise, is
an upper limit of the dynamic range required of the ADC. Accordingly, in this design,
a 12 bit ADC is pursued.

1.1.2 Sampling Rate


Although the acoustic frequency band extends to 20kHz, military interest of the
spectrum in a battle field is far more limited. Vehicular and personnel acoustic
activity is typically within the kilo-Hertz range. As a result, a Nyquist rate converter
with a sampling rate as low as 2-3kS/s may be sufficient. However, to avoid in-band
signal-to-noise degradation due to aliasing, this imposes stringent requirements on the
pre-filters. Specifically, very high-order anti-aliasing filters are required. Typically
these are achieved through active circuits that may consume more power than the
entire ADC. To allow exploration of a variety of anti-aliasing options, this ADC will
be designed to have a sampling rate of 100kS/s. Of course oversampling, in this
manner, would require post-ADC digital decimation filtering.

1.1.3 Scalability

As mentioned previously, low-power operation is a paramount design objective for


sensor subsystems. Since sensor nodes are reactive, and the ADC is the front-end
unit in the detection path, conventional sleep-active state manipulations are of limited
use. In particular, some form of ADC is responsible for detecting an event of interest
and, accordingly, generating a wake-up signal. Of course, the level of sophistication
required in that initial detection depends on the application, and may be met by a
simple threshold detector. In any case, the ADC must remain "on" in some capacity.
Where the power source is erratic and unpredictable, such as the case of an energy

21
scavenger, it is beneficial to scale the power consumption in response to the dynam-
ically varying constraints. The two main dimensions, along which an ADC may be
scaled in order to recover power savings are sampling-rate and resolution. In the

extreme, the power consumption of the ADC should be vanishingly small. Highly re-
configurable solutions have been demonstrated [6][7]. The characteristic of scalability
is itself a feature that can impose considerable overhead, in the form of the recon-
figuration logic/fabric as well as adaptive biasing circuitry. It is essential, therefore,
to limit the performance space based on need, but also, based on ease of implemen-
tation. It, of course, follows that facilitating scalability should be considered early
on, at the architecture selection phase. Precise specification of the scalability feature
of this ADC will be deferred until the treatment of architecture and implementation
issues.

1.1.4 Input Interface


As discussed in Section 3.2, a differential ADC architecture is highly beneficial for

robustness. This may, however, increase system complexity, as it requires a fully


differential input signal path. Since the power consumption of the sensor system is
liable to increase with the added complexity, this ADC will be designed to support
single-ended inputs, though some degradation in precision is expected in this case.

1.2 Architecture Selection


Architecture selection can begin with regards to the resolution, input bandwidth,
and scalability requirements specified above. Since low-power operation is critical
in this design, architecture selection will be driven by an examination of the power
consumption of previous ADCs. Figure 1-1 plots the power consumption of exist-
ing designs against the maximum input frequency they can digitize. As shown, in
the 100kS/s space, successive approximation register (SAR) ADCs and oversampling,
delta-sigma ADCs achieve the lowest power. Pipelined and flash converters populate
the high-speed, higher-power regime. Similarly, Figure 1-2 plots the power consump-

22
tion of existing designs against their resolution. Here, very low-power SAR converters
are prominent at the 8 bit level. However, at the 12 bit level, the lowest power de-
signs demonstrated are delta-sigma converters, though a couple of SAR converts also
achieve reasonably low-power.

Power VS Input Frequency

10 -1
O 'O I

1 0-2

a)
(D.

0
10 - 3

1
A o *
10 - 5
* Flash
SAR
10 -6 0.~~ O x Pipelined
AL

10 - 7 ,~~~~~ 6

102 104 10 6 108


Input Frequency (Hz)

Figure 1-1: Existing designs in a power-input frequency space (data courtesy B.


Ginsburg, MIT).

With respect to the desired speed and resolution, SAR and oversampling ADC
architectures are the primary candidates for this design. Delta-sigma modulation
greatly eases the implementation requirements of analog blocks, and, for preserving
accuracy, places an increased emphasis on digital circuits. This is amenable to modern
technology scaling trends, and, as a result, efficient, low-voltage designs have been
demonstrated in advanced technologies [8][9]. Delta-sigma converters benefit from
the additional advantage that they fundamentally rely on oversampling, easing anti-
aliasing requirements. Nonetheless, among the designs plotted in Figure 1-1 and
Figure 1-2, the lowest power are SAR converters, achieving power levels of submicro-

23
Power VS Resolution

a)
0
a_

6 8 10 12 14 16
Resolution (Bits)

Figure 1-2: Existing designs in a power-resolution space (data courtesy B. Ginsburg,


MIT).

Watts and micro-Watts [10][11]. This design will leverage the SAR architecture,
which is suitable for micro-power operation, and apply new techniques to efficiently
increase resolution capabilities to the 12 bit level.

24
Chapter 2

Precision Limitations

Having identified the precision requirements for this ADC, we can begin to identify
limitations in the implementation technology that must be addressed. This section
surveys practicalities in circuits and devices that are relevant to the development of
medium and high resolution ADCs. Often, these limitations will be overcome by
appropriate circuit techniques, layout, and device sizing. Corresponding details are
provided in Chapter 5.

2.1 Low Overdrive of MOS Switches


The availability of ideal switches is extremely beneficial in analog circuit design.
In this ADC, switches are used to control signal flow, enable charge redistribution
on capacitors, and short nodes to ensure voltage equivalence. The MOS transistor
functions as a nearly ideal switch. At low gate overdrives (IVGS- VTN,PI), it provides
very high "off" resistance, and at high gate overdrive, it provides relatively low "on"
resistance.
In low-voltage analog circuit design, however, reduced headroom limits the gate
overdrive that can be applied. The effective resistance of a MOS transistor in deep
triode is given by Equation 2.1.

ReffNP 1NPCOX I VGS-VTNPI


IINPCOX(7)IVGS,- VT,(21

25
Here, 1N,P is the device mobility, Cox is the oxide capacitance, and (w) are the device
dimensions. The resulting range of voltages effectively passed by an NMOS is between
0 and VDD-VTN; the corresponding range for a PMOS is between VDD-IVTPI and

VDD. The resistance of a CMOS transmission gate, such as the one shown in Figure
2-1, is the parallel combination, Reff,N 11Reff,P. In the limit, when VDD < VTN
+ VTpI, a CMOS transmission gate approaches the "off" state for voltages near
mid-supply, regardless of gate voltage.

ReffN A ?eff,P
VOUT

Figure 2-1: Effective resistance of a CMOS Transmission Gate.

At low overdrive voltages, where the CMOS transmission gate resistance is high,
we are faced with increased settling times due to the resulting RC time constant.
Additionally, as this resistance becomes more dominate, its nonlinear nature (through
the dependence on IVGs- VTN,PI) becomes highly significant.

2.2 Charge Injection Errors


An additional limitation associated with MOS switches is the error in voltage observed
on high-impedance nodes immediately following sampling. Consider the sampling
circuit shown in Figure 2-2.

VSAMP

COT 7 7
'SDJ--F
COL ~7_ ~'TCSD
SDTOL CL Vour

VIN S-
C[) . CH

Figure 2-2: Origin of charge injection error in a sampling circuit.

26
As VSAMP is reduced following sampling, the channel charge established in the
NMOS escapes through its source and drain. The resulting voltage error depends
on the interaction of the load impedances, CH, CS, and Rs, with the MOS intrinsic
capacitance, CGS,D, and extrinsic capacitance, COL. In strong inversion, the intrinsic
capacitance is fixed at approximately COX
2
[12]. In weak inversion, the intrinsic capac-
itance is nonlinear and vanishes exponentially [13]. In both cases, circuit simulators,
such as SPICE, represent charge injection behavior inaccurately.

2.3 Mismatch of Passive Elements


Among the errors in an ADC's transfer characteristic, linearity errors are most difficult
to correct. In a SAR ADC, the dominate source of nonlinearity is element mismatch
in the feedback DAC (as explained in Section 3.3). To minimize this, highly linear and
well matched passive elements are employed. Integrated poly-poly capacitors exhibit
superior matching characteristics and are extremely stable, having low voltage and
temperate coefficients (10-100 ppm/V and 20-30 ppm/C respectively). Although
native, untrimmed matching of 0.01% (suitable for up to 14 bits of precision) is
achievable, this depends on proper sizing and layout techniques.

2.4 Mismatch of Active Elements


Geometric tolerances as well as process dependant effects including diffusion, etch,
thermal, and stress gradients all contribute to mismatch in MOS transistors. This
mismatch results in variation that can be referenced to the physical MOS parameters
VTO, y (the body-effect coefficient), /, Cox, W, and L [14]. All of these variations,
except those associated with the one-dimensional quantities W and L, can be con-
volved with a double box function, defining the geometry of the devices, to quantify
the overall variation. One dimensional analysis can be applied to W and L.
If the proper layout practices of common-centroid arrangement and tight prox-
imity are applied to matched devices, the residual spatial variations will have short

27
correlation distances with respect to the device dimensions and can be treated as
random "white noise". In this case, the short range mismatch parameter associated
with VTOdominates and can be used to estimate statistical variation between devices.
At the circuit level, mismatch between devices manifests itself as an offset voltage
which can be referred to the input of amplifiers. In a SAR ADC, offsets affecting the
comparator result in overall offset of the ADC transfer characteristic. Though this can
be calibrated using external hardware [15] or software [16], circuit support for offset
cancellation can also be employed (as explained in Section 4.2). Note, comparator
offset depends on temperature and is typically not static. This is particularly true in
low-voltage implementations where the active amplifiers operate in weak inversion.

An additional consequence of device mismatch is the resulting degradation in am-


plifier gain. Consider the simple amplifier in Figure 2-3. Assuming weak inversion
operation of all devices, the available linear output range with a 1V VDD is approxi-
mately 700mV. Outside this range, all devices are no longer in saturation, and severe
gain compression occurs. For devices with an intrinsic gain (gmro) of 20, the linear
gain of the amplifier is approximately 10 (gm,N(ro,N rop) where gm,N g,p in
weak inversion). The resulting input linear range is only 70mV. Any input referred
offset of the same order will cause degradation in the amplifier's gain. Consequently,
device offset must be considered when designing gain stages.

Figure 2-3: Gain compression of a low voltage amplifier.

28
2.5 Device Noise

Noise is fundamental to circuits and cannot be avoided. Nonetheless, managing noise


is critical particularly in the case of low-power, precision systems. Low-power implies
either low voltage or low current. In both cases, the ability to derive signal power is
reduced, and the limiting effect of noise power on achievable dynamic range is more
significant.
The noise inherent to electrical conduction, which comes about due to the discrete
nature of charge, is known as shot noise. Assuming the arrival of charge carriers (i.e.
electrons) is governed by Poisson statistics, and by treating their arrival as an impulse
of current (causing a step change in charge), the variance in current, in a bandwidth
Af, is given by the well known relationship of Equation 2.2[17].

r 2 = 2qSIGcAf (2.2)

The signal power can be represented by IIG and the noise power can be represented
by (r. Accordingly the signal-to-noise ratio (SNR), can be represented by Equation
2.3.

ISIIG SIGC
-r2 2qAf (2.3)

The important result of this relationship is that, SNR can be increased either by
increasing the signal (resulting in increased power consumption) or by reducing the
bandwidth. If this analysis is approached from a thermal noise point of view, an
identical relationship is observed [18].

In a SAR ADC, this noise limits precision in at least three places. During initial
sampling of the input signal (Section 5.1.2), we are limited by kT noise due to
CSANP
the sampling switch, where CSAMP is the sampling capacitor. During auto-zeroing
(Section 4.2.1), we are limited by B kT noise due to the active amplifier, where
CAZERO
B is an implementation dependant parameter set by the amplifier and CAZERO is
the auto-zeroing capacitor. Finally, during bit cycling, we are limited by noise in the

29
comparator (Section 5.2.1) which originates in the preamplifiers and latch. This last
case can be extremely difficult to quantify, as comparator decisions do not depend on
the average power of the input signal. Instead, a transient analysis that considers the
time varying nature of the latching circuit must be applied.
Since the arrival of electrons is treated as an impulse of current, the shot or
thermal noise considered above has constant power over a broadband of frequencies.
As a result, it is called "white noise". An additional type of noise, not inherent
to conduction, but prominent in MOS devices, nonetheless, is , or flicker, noise.
Surface effects at the channel's silicon-oxide interface result in extraneous energy
states (or "traps") for the carriers. Flicker noise is highest at low frequencies. Its
power increases with device current (in a linear or quadratic manner depending on the
degree of inversion) and decreases with channel area. Flicker noise affects comparator
decisions if it is not cancelled appropriately.

2.6 Threshold Voltage Hysteresis


In a MOS device, inversion occurs as a result of energy band bending of the silicon
in the channel region. This is induced by the electric field originating at the gate. At
the on-set of strong-inversion, the concentration of channel forming carriers increases
quickly with increasing gate voltage. Consequently, the strengthening electric field
terminates at the silicon-oxide interface, and the additional voltage drop appears
across the oxide. The ensuing reduction of oxide energy bands results in low-energy
traps that can be filled by carriers via tunneling mechanisms [19].
Subsequently, when the gate voltage is reduced, carriers can take tens of millisec-
onds to leave the oxide traps and re-enter the silicon. The presence of the carriers in
the oxide long after the stress voltage is removed leads to threshold voltage hystere-
sis in devices. This behavior is only observed for positive stress voltages and, as a
result, affects differential inputs asymmetrically. The net effect manifests itself as a
hysteresis in amplifier offset voltage.
Threshold voltage hysteresis, on the order of millivolts, has been observed [19].

30
The effects are far less pronounced in PMOS devices than NMOS devices, and can
be managed further by minimizing signal induced band bending.

2.7 Other Error Sources


In addtion to the error sources mentioned above, power supply noise and substrate
noise can have a considerable effect on the precision of the ADC. Both of these noise
sources may be correlated with signal transitions in the circuits. Particularly in the
case where large swing digital signals couple to the sensitive analog blocks, like the
comparator preamplifiers and latch, the ADC output can be subject to periodic error.
For instance, since transitions in the SAR state machine occur in a regular fashion,
spurious noise, associated with some fraction of the clock frequency, might degrade
the ADC's precision.
Lastly, kickback noise from the comparator, which comes about when the outputs
start regenerating, is not particularly limiting in a SAR ADC. In a flash converter this
noise appears at the inputs, which couple to other comparators through the resistive
string. In the SAR ADC, only one critical decision is made at any time, and the
comparator is reset between decisions, negating previous kickback effects.

2.8 Summary
Details of how each of limitations above are managed are provided in the following
chapters. Generally, both architecture and circuit techniques have been applied.
For instance, low switch overdrive is overcome, in some cases, by bootstrapping,
and, in other cases, by applying an architecture that avoids analog switches in the
critical signal path. Similarly, charge injection errors are minimized through the use
of counter-phased dummy switches as well as switching sequences that direct channel
charge onto the appropriate nodes. Mismatch in passive and active elements are
managed through proper sizing. Additionally, amplifier offset cancellation techniques
are used. Finally device noise is limited in preamplifiers though gain, bandwidth, and

31
biasing considerations.

32
Chapter 3

Successive Approximation
Conversion

This chapter will describe the structure and operation of successive approximation
(SAR) analog-to-digital converters. In Section 3.1, the basic operation of a SAR
ADC will be considered. In Section 3.2, an enhancement to the architecture, enabling
robust, differential operation, will be presented. Finally, in Section 3.3 constituent
blocks of the SAR ADC will be analyzed for their contributions to errors in the overall
conversion process.

3.1 Basic Operation


Successive approximation register (SAR) ADCs are very popular for medium and
high resolution applications. They achieve reasonably quick conversion times since
they are based on an efficient convergence algorithm. A logical block diagram of a
SAR converter is shown in Figure 3-1 below. Here the main blocks are a digital finite
state machine (itself called a successive approximation register), a digital-to-analog
converter (DAC), a sample-and-hold (S/H), and a comparator.
The input signal offsets the DAC's output value, and the finite state machine SAR
applies a binary search algorithm to the DAC to arrive at a digital representation of
the analog input. In practice, the sample-and-hold is often combined with the DAC,

33
)arator

VIN

("'lnre

Figure 3-1: Logical block diagram of a successive approximation register ADC.

and the entire structure is implemented using binary-weighted switch capacitors.

Here, details of the conversion process for an N bit SAR ADC are described concep-
tually [20]. Operation, in the case of the practical implementation, employs additional
enhancements. Basically, conversion requires two phases: sampling, during which the
input voltage is stored, and bit-cycling, during which bits of the corresponding digital
code are successively resolved. In particular, the digital code corresponds to where
the analog input voltage lies within a range defined by the reference voltages, Vref,N
and Vref,p. As shown in Figure 3-2, the input voltage is initially sampled onto the
bottom plates of the DAC capacitor array. The resulting charge on the top plate of
the capacitor array, QTOP, is equal to -(VIN - Vref,N)2NCo.

Next, bit-cycling starts by resolving the MSB. First, the bottom plate of the largest
capacitor (2N-1 C0 ) is connected to the positive reference voltage, Vref,p, while the
bottom plates of the remaining capacitors in the array are connected to the negative
reference voltage, Vref,N, as shown in Figure 3-3. The resulting structure forms a
capacitor divider with equal capacitance from the output to Vref,p and Vref,N. If we
neglect the charge initially sampled on the top-plate, the output node will settle to
Vref,P+Vref,N.
2
Instead, the sampled charge superimposes a voltage on the top node,
and the resulting output is Vef,P+Vref,N
2
- VIN + Vref,N. This can be verified by the
following charge conservation analysis.

34
I Sample

n_ - /l/ Il/ I r

DAR

Vref N

Figure 3-2: SAR sampling phase.

QTOP = -(VIN - Vref,N)2NCo = (VOUT - Vref,p)2N-lCo + (VOUT- Vref,N)2N- Co


(3.1)
VO
VOU1- Vref,p +22IN
Vef,N VI +
+ VeN
V,,fN (3.2)
(3.2)

From this, we can see that for VIN equal to the mid-scale voltage VrefP+Vref,N
2 VOUT
equals Vef,N, which is the comparator transition point. If the comparator resolves
to "1", VIN is greater than the input mid-scale; if it resolves to "", VIN is less than
the input mid-scale. In the former case, the MSB capacitor bottom plate remains
at Vf,p during subsequent bit-cycling. In the ladder case, the MSB capacitor is
connected to Vf,N. The remaining bits are resolved in a similar manner, and the
digital output code corresponds to the successive comparator decisions.

3.2 Differential Successive Approximation ADC


The ADC discussed in Section 3.1 is not practical for medium or high resolution
conversion. Specifically, it suffers from a high sensitivity to power supply noise. For
instance, a 12 bit ADC, depending on Vf,p and Vf,N, will have an LSB voltage less

35
;r3*W~~~ BitCycle

n__ __ // - / %,)Nf-

!AR

Vref, N

Figure 3-3: SAR bit cycling of MSB.

than lmV. Supply noise will be attenuated through the capacitor divider and appear
at the comparator input, degrading the resolvable signal. To mitigate this effect,
a fully differential architecture can be employed. Figure 3-4 shows the resulting
structure.

Here, VCM is the common-mode voltage of the input signal. It ensures that equal
and opposite charge is stored on each of the capacitor arrays. This, in turn, guarantees
that the common-mode voltage of the DAC's output, during bit-cycling, does not
change from conversion-to-conversion, regardless of the input common-mode voltage.
ADC errors due to varying input common-mode are considered further in Section
4.2.2.

In addition to improved power supply noise rejection, this approach has several
other advantages. Firstly, the differential nature provides first-order cancellation of
linear voltage coefficients in the DAC capacitors [21]. Non-zero capacitor voltage
coefficients result in linearity errors, and as a result, the differential ADC improves
linearity. Second, the thermal noise limited dynamic range of the entire ADC is
doubled. This can be seen by considering that the input range has been extended
from (Vref,P - Vref,N) to (Vref,P - Vref,N). As a result the LSB voltage doubles,
and the required minimum resolvable signal is larger. Note, however, if the ADC is

36
VrefPP

Vref, N

VIN-
7
Mt .
I I
"
.

-
TI
-
.I-]

-
I
,

I
I
-

TT'
I
- ,55

I
I

Figure 3-4: Fully Differential DAC during sampling.

quantization noise limited, instead of thermal noise limited, the differential structure
provides no improvement in dynamic range. Additionally, even in the thermal noise
limited case, the extension in dynamic range comes at the cost of an increase in power
consumption, since two capacitor arrays must be charged. The power increase in the
DAC is, however, offset somewhat by a power decrease in the thermal noise limited
comparator stages.

3.3 Analysis of Error Sources


Any deviation from the ideal ADC transfer characteristic represents errors in the
analog-to-digital conversion process. Figure 3-5 below enumerates static errors com-
monly observed in ADCs with respect to the ideal characteristics of a single-ended
converter. A differential converter may be considered by means of a straight forward
extension.

37
Any shift in the transfer curve is called offset error and results in a reduced
conversion range. For instance, in Figure 3-5b, even if the input signal is shifted, the
first 3
16 of the analog input range is not properly converted, saturating the digital
output at the lowest code. As shown in Figure 3-5c, equal deviations (from vef in

the width of code transition voltages results in gain error. Finally, as shown in Figure
3-5d, unequal deviations in the width of code transition voltages results in linearity
errors.

Digita
Code
111
110
101
100
011
010
001
000
N/V.ref

(a) (b)

Digital Digital
r%,-, ^- f.
He
fdld

LUUU VUUJ
Actual
111 111
Ideal
110 110
101 101

100 100
011 011

010 010
001 001
000 000
,,
UIVr~f
r , 2,,

(c) (d)

Figure 3-5: Errors in 3 bit ADC transfer characteristics: (a)Ideal case (b)Offset error
(c)Gain error (d)Linearity error [22].

38
In addition to the static errors considered in Figure 3-5, dynamic errors also influ-
ence the performance of ADCs. Non-linearities, for instance, may be dependant on
the frequency of the input signal. Additionally, thermal noise in the converter is most
clearly observed through dynamic analysis since static analysis typically applies aver-
aging which attenuates zero-mean, random noise. Methods for precisely quantifying
and correcting ADC errors are described in [22]. Among these, linearity errors are
the most difficult to correct through post processing, and as a result, represent the
most serious non-idealities in an ADC. The remainder of this subsection will examine
the major sources of errors in a SAR ADC and how these manifest themselves with
respect to the static and dynamic characteristics of the converter.

3.3.1 Capacitor Mismatch


From the discussions in Section 3.1 it is clear that the DAC generates output voltages
by means of capacitive voltage division. It follows, then, that ratiometric matching,
and not absolute matching, of the capacitive elements is required. Since output values
of the capacitor divider define the transition voltages, capacitor mismatch results in
inconsistent deviations in the transition voltages, leading to linearity errors. This is
a primary source of nonlinearity in the SAR converter.

3.3.2 DAC Parasitics


During both sampling and bit-cycling the bottom-plates of all elements in the capac-
itor array are driven to either VIN, Vref,P, or Vref,N. The parasitics on the bottom
plates are also driven to the corresponding voltage without affecting the sampled
charge. Consequently, bottom-plate parasitic capacitance does not introduce errors.
The top-plate of the capacitor array is a high impedance node during bit cycling
and, as a result, the distribution of sampled charge is affected by associated para-
sitic capacitance. To understand this, consider the single-ended capacitor array of
Figure 3-6a. The first thing to note is that, during sampling, the top-plate, and
its parasitic capacitance, is charged to Vref,N which is precisely the voltage towards

39
which the DAC output converges during critical comparator decisions. This implies
that, during bit-cycling, when the capacitor array is switched so as to output VrefN,
the top-plate parasitic will hold exactly as much charge as it did during sampling.
The remaining charge on the top-plate, which corresponds to the sampled voltage,
will be appropriately distributed between the elements of the capacitor array. When
the capacitor array is switched so as to output anything other than Vref,N, the re-
sult can be analyzed through the Thevenin circuit equivalent shown in Figure 3-6b.
Here, the output voltage is attenuated through the capacitor divider composed of the
DAC capacitor array, 2NCo, and the parasitic capacitance Cp. As a result, of this
attenuation, the comparator must be able to resolve smaller signals.

VrefN

2C O CO :.;:C
1 2 N-ICO o O:CO
It 0 f .....
..........
0

1~~~~~~~~~~~..
VIN

(a)

N-A1

Z bi2'Co Thevenin 2N
i=0 l~~rslsissoloNt Co
-4UlU ValO IL VDAC, actual
, o
N-1 * -
p ... ,... ,,P
^Nr TS ib 'V f
Z Go-- LLoZU- - *I7
i-O |.T.,
1
Vref, N
(b)

Figure 3-6: Effect of capacitor array top-plate parasitics (a)During sampling


(b)During bit-cycling.

40
3.3.3 Reference Voltage Error
While parasitic capacitance on the top-plate causes attenuation of the DAC output
around the comparator trip point (Vref,N), staic reference voltage error on Vref,p
causes attenuation (or amplification) with respect to ground. Namely, the DAC out-
put voltage, referenced to Vref,N, is attenuated (or amplified). The resulting equiv-
alent circuit is shown in Figure 3-7. Here, VDAC,ideal is the DAC output voltage
referenced to Vref,N, rather than ground, and G represents the attenuation (or am-
plification) as a result of Vref,p errors. The overall effect is a systematic compression
(or expansion) of transition voltage widths leading to gain error in the ADC transfer
characteristic. Static errors on Vref,N have a similar effect of compressing (or expand-
ing) the DAC output voltage range, and also result in ADC gain error; in this case,
the comparator input common-mode voltage is also shifted.

GVDAcideal(bN-1,... ,

VrI

Figure 3-7: Effect of errors in Vref,p.

From the argument above, it followsthat non-static noise on the reference voltages
can be highly problematic in the ADC. It is essential, therefore that the reference be
properly de-coupled from other signals or power supplies.

3.3.4 Comparator Offset


Figure 3-1 illustrates how the DAC output voltage is offset by the analog input signal
before being resolved by the comparator. Comparator offset can be modeled as an
additional summing operation with a static error signal at the comparator input. This
can be combined with the ADC input signal, showing, explicitly, that comparator
offset has the effect of adding offset to the entire ADC.

41
3.3.5 Sampling Switch Non-Linearity
Since sample-and-hold (S/H) is the first operation and is applied directly to the input,
any non-linearities in the S/H circuit contribute to overall ADC linearity errors. In
SAR ADCs, sampling maybe a passive operation that occurs through MOS switches
onto the capacitor array, as shown previously in Figure 3-2 and Figure 3-4. The
capacitor array is typically quite linear. The sampling switches, however, are quite
non-linear having an effective resistance dependant on the input signal voltage. This
is not problematic at low frequencies, since the sampled voltage will track the input
voltage, provided sufficient settling time. At high frequencies, however, the S/H
circuit can be viewed as the voltage divider shown in Figure 3-8, where the sampled
voltage is set by the non-linear MOS sampling resistance and the impedance of the
capacitor array.

IN R(VIN) VS
VIN 'WAr-~__

~ ZArray= 1 /jWCArray

Figure 3-8: Equivalent sample-and-hold circuit.

Here, the sampled voltage, VS/H, and the input voltage, VIN, have the non-linear
relationship, described in Equation 3.3, where R is a function of VIN.

1
VS/H VIN 1 + CArrayR (3.3)

While the error in magnitude observed due to this non-linearity might be small, the
error in phase can be quite large, significantly contributing to distortion.

3.3.6 Comparator Thermal Noise


In medium and high resolution SAR converters, the comparator must resolve signals
of only tens or hundreds of micro-volts. Transient noise during the course of com-
parator settling, degrades the SNR of the ADC. Further, although SNR is effective

42
for characterizing noise power in the ADC, it does not fully elucidate the effect noise
has on the resulting output code. In a SAR ADC, the code histogram resulting from
noise can have a non-monotonic decay pattern from the mean code [23] as it depends
on the joint probabilities, of how individual bits are resolved (this is considered fur-
ther in Appendix A). As a result, the effect of noise on the resulting output code, in
addition to the dynamic range, should be considered.

3.4 Summary
All of the error sources mentioned in this chapter can lead to significant degradation
in ADC performance. Consequently, they are addressed in the implementation of
this design. For instance, although the reference voltage is provided from off-chip,
it is heavily de-coupled on-chip to minimize transient errors. Comparator offset is
cancelled using auto-zeroing and latch calibration. Input switches are bootstrapped
to reduce the effect of their non-linear behavior. Finally, thermal noise is limited to
an acceptable level in the comparator preamplifiers through gain, bandwidth, and
biasing considerations.

43
44
Chapter 4

Architecture Design and Theory

This chapter discusses the system architecture of the implemented ADC. A high-level
description of the converter is provided in Section 4.1 with the purpose of explaining
global features affecting the conversion process and its control mechanisms. Block
level architectures are considered in Section 4.2 along with analysis supporting the
applied optimizations. Implementation details, pertaining to design tradeoffs and
circuit techniques, are presented in Chapter 5.

4.1 Global Architecture and Concepts


Figure 4-1 shows a block diagram of the final ADC. Most of the blocks were introduced
in Chapter 3. Here, however, the DAC has been separated into two sub-blocks: a
main-DAC and a sub-DAC. The precise effect of this structure will be considered in
Section 4.2.2. An additional block, the clock manager, is used to implement scalability
in sampling rate, as described in Section 4.1.2.

4.1.1 Conversion Plan


In the most straightforward case, a SAR conversion cycle consists of one phase of
input sampling, typically combined with offset calibration (auto-zeroing), followedby
one phase of bit-cycling for each bit that must be resolved. This implies that for a

45
V

Figure 4-1: Block diagram of the final ADC.

12-bit converter, at least 13 clock cycles are required; for an 8-bit converter, at least
9 clock cycles are required. The conversion plans for the 12-bit and 8-bit modes of
this ADC are presented in Figure 4-2.
Here, the conversion plan includes several phases in addition to those described
above. Specifically, a phase has been added to purge the capacitor arrays; the sam-
pling and auto-zeroing phases have been separated; and an extra half-clock cycle has
been devoted to resolving the MSB. Clock cycles have been allocated to resolving
the remaining bits in a standard manner. The purpose of the purge phase, as well
as the rationale behind separating offset calibration and sampling, is explained in
Section 4.2.2. Details pertaining to the auto-zeroing phase are provided in Section
4.2.1. Finally, the extra time spent resolving the MSB is justified in Section 4.1.4.
In any case, a 17 clock cycle conversion period (in the case of the 12 bit mode) im-

46
17 clock cycles

Clock

I I
a) 0 III
a-
6 E-a ml
WlI~
-I Bit-Cycle (10:0)

~~~I I

(a)

12 clock cycles

Clock

I I

a- 60 E Y WI44 Bit-Cycle (6:0)


0~~~(
IFill1
6: I I

(b)

Figure 4-2: Final ADC conversion plan for (a)12-bit mode (b) 8-bit mode.

plies a clock frequency of 1.7MHz to achieve a conversion rate of 100kS/s. This ADC
will be designed to operate at a clock frequency of 2MHz, such that the maximum
conversion rate is slightly higher that 100kS/s.

4.1.2 Sample Rate Scaling


Section 1.1.3 examined the value of scaling the performance of the ADC to recover
power savings in response to dynamically varying energy and performance constraints.
Assuming a constant supply voltage, the power consumption of both digital circuits
and analog circuits is directly proportional to their operating speed.
In the case of digital circuits, this can be seen in Equation 4.1, which expressed

47
the power consumption for digital transitions (0 - 1). Here, is the switching
activity factor, CL is the load capacitance, VDD is the supply voltage, flk is the
clock frequency, and d is the duty cycle (i.e. ratio of time the circuit is actively
processing) [24]. Often, d is combined with and may be considered a specific
parameter associated with switching activity.

Pdig = aCLVDDfclkd (4.1)

Additional forms of power consumption, namely direct-path power and leakage power,
are also present. However, these typically represent a smaller portion of the total
power consumption. In the case of analog circuits, we can consider, as an example,
a simple single stage amplifier. Here, the required gain, A, and bandwidth, f-3dB,

are given by the following expressions, where GM is the amplifier's transconductance,


and Rout is the amplifier's output resistance.

A = GMRout (4.2)

1
f-3dB = 2R t (4.3)
27rRo,,tCL

For a simple differential pair implementation, the amplifier's GM coincides with the
transconductance of the input devices, gi, and can be expressed in terms of the bias
current, Ibias (note, this relationship is true in the weak-inversion regime [18] where
efficiency is highest).
Ibiasq Panaq
gm nikT -_iTD (4.4)
nkT nkTVDD
Combining these results, the power consumption can be expressed as in Equation 4.5,
where its proportional dependence on signal frequency is shown.

27rnkTVDDCLA
2Pana f-3dB (4.5)
q

Fundamentally, this result suggests that a linear power relationship is achievable


with respect to processing frequency. With consideration to Equation 4.1, this rela-

48
tionship occurs explicitly in digital circuits through fk, the clock frequency, and d,
the duty-cycle ratio. In analog circuits, however, some flexibility exists in how this
relationship can be leveraged. In a sampled system, which performs analog process-
ing in the discrete-time domain, reduced signal frequency implies a reduced sampling
rate requirement. With reduced sampling rate, the settling time of the analog circuits
can be increased to allow lower power operation. This may be achieved, as suggested
by Equation 4.2 and Equation 4.4, by increasing Rout and decreasing GM. Such an
approach, however, would require dynamic adjustment of bias currents, which can
be difficult to implement, particularly over a large range [7]. A more straightforward
strategy is to apply power-gating to the analog circuits, such that they process at
a constant rate, and then shut-off to enable scalability in performance and power
consumption.

One of the main advantages of the SAR architecture, for low-power implemen-
tations, is its limited number of constituent active components. Specifically, the
comparator is the only active analog circuitry. The SAR (finite-state machine) is
a digital block, and the DAC is based on the passive charge redistribution process
(Section 3.1). Consequently, the SAR ADC architecture provides the opportunity for
very efficient power-gating; static bias currents in the comparator, as well as digital
clocking circuitry, can be shut off between conversions, minimizing power consump-
tion to leakage levels. During this process, the only overhead is CLVDD energy of
charging and discharging load capacitors.

The waveforms in Figure 4-3 show how power-gating is controlled in this design.
Here, CNVRT is the input control signal to initiate a conversion, DOUT is the 12-
bit digital output code, and SLEEP is an internal control signal used to shut-off
the clock and active circuits between conversions. Figure 4-3 shows the case where
the sampling rate has been scaled to half the maximum rate. Chapter 5 explains
how sleep modes are implemented at the circuit level, such that only leakage power
is consumed during the sleep phases.

49
CLK
CNVRT
- r
DOUT Data Valid _ Data Valid

SLEEP A C o I I
I Sleep I Active Conversion I Sleep I Active Conversion I

Figure 4-3: Waveforms showing power-gating control

4.1.3 Resolution Modes


Along with sampling rate, Section 1.1.3 identified resolution as a performance dimen-
sion along which scalability can be implemented to achieve dynamic power savings.
The inherent relationship between power consumption and resolution can be derived
by considering analog and digital circuits separately.
Resolution is a measure of the loss in precision, due to noise, imposed by a process-
ing block. Dynamic range is defined as the ratio of maximum signal power to mini-
mum signal power when the SNR has degraded to unity. Note that the signal power,
at unity SNR, is equal to the accumulated power of all noise sources. All ADCs

add quantization noise. However, their ability to resolve a higher number of bits
is ultimately limited by intrinsic device noise. To characterize the power-resolution
relationship in analog circuits, the effect of thermal noise, on limiting dynamic range,
can, once again, be analyzed for a simple one stage amplifier. Equation 4.6 relates
2
V
dynamic range, DR,to the power of a full-swing sine wave, Amp
2
and the noise power,
2 v
vnoD 2

DR V2 2(4.6)
-2vno

The value of vno is given by cT, where 7yis the equivalent number of noise sources
in the amplifier and CL is the load capacitance. Then, by applying Equation 4.2,
Equation 4.4, and Equation 4.3, we get the following expression.

DR 47DR V~mpPanaq
A2
rn(kT) AVDDf-3dB (4.7)

This results shows that power consumption in analog circuits is directly proportional

50
to dynamic range. Extrapolating to ADCs, where dynamic range is exponentially
related to resolution (namely 2 N, where N is the number of bits), power consumption
can be expected to vary exponentially with the number of bits to be resolved.
This result, however, is not true in the case of digital circuits. The power con-
sumption of digital circuits is simply linearly related to the number of bits. This
can be seen, for example, in an adder circuit, where a 16-bit version requires twice
the number of full-adder cells as an 8-bit version (assuming the critical path is not
affected).
Since ADCs are, generally speaking, mixed signal systems, the resulting power-
resolution relationship expected in a scalable ADC, lies in between that for digital and
analog circuits. A bias towards one or the other will depend on the exact distribution
of power consumption between digital and analog blocks. The situation is compli-
cated, however, by the ability to implement the feature of scalability. Although, in
analog circuits, we expect exponential power savings with dynamically reduced res-
olution, in reality, this is limited by circuit practicalities, as described later in this
chapter.
In order to reconcile the difficulties associated with implementing power-resolution
scaling, in this design, two discrete resolution modes have been chosen: a 12-bit mode,
and an 8-bit mode. A feature of the SAR conversion algorithm, which resolves bits
successively, starting with the MSB, is that the conversion process can be stopped
at any point during bit-cycling, once the digital code has been determined to the de-
sired resolution. Of course, this, alone, yields only linear power savings. In order to
scale resolution more efficiently, the analog blocks, namely the comparator and DAC,
should be retuned appropriately. Mechanisms to perform this adaptation in the com-
parator are described in Section 4.2.1. The difficulties associated with implementing
scalability in the DAC are presented in Section 4.2.2.

4.1.4 Self-Timed Bit Cycling


The most straight forward signaling to control bit-cycling in a SAR ADC is shown
in Figure 4-4. Here, the SAR finite state machine generates the appropriate digital

51
control for the DAC at the start of the clock cycle (tckq after the rising edge). During
the first half of the clock period, the DAC and comparator preamplifiers (if present)
settle to their correct values. Then, the comparator latch is triggered at the falling
edge of the clock. Subsequently, the comparator decision is used by the SAR logic to
derive the next digital control for the DAC. In this scheme the preamplifier settling
time, tsettle,PAMP, (plus the SAR clock-Q time, tckq) must be less than half the clock
cycle.

CLOCK l

_l:tck-qI
SAR iXiX
DAC

PRE-AMP I

. I

LATCH tselePAMPC

tl tatMh

Figure 4-4: Waveforms showing standard bit-cycling signals.

Alternatively, a self-timed scheme was suggested in [25], where the DAC and com-
parator preamplifiers borrow time from the latch, to ease their settling requirements.
The signals associated with this scheme are shown in Figure 4-5. Here, the latch is
once again triggered by the falling clock edge. However, now, following the latch res-
olution time, tlatch, the SAR immediately derives the next digital control word for the
DAC. Subsequently, the DAC and preamplifiers start settling to their respective val-
ues while the clock is still low. As a result, the preamplifier settling time, tsettle,PAMP,

can be longer by t +2 tckq - tatch - tlo9 ic where tclk is the clock period. Specifically,
if the preamplifiers dominate the bit-cycling time (i.e. the logic and latch delays are
small), their settling time can be nearly doubled, reducing their power consumption
by a factor of two.

52
CLOCK

SAR

DAC

PRE-AMP

LATCH

Figure 4-5: Waveforms showing self-timed bit-cycling signals.

Since the successive approximation algorithm works by dividing the conversion


range in half during each phase of bit-cycling, the possible input swing to the com-
parator decreases by a factor of two each time. During the first few MSBs, however,
large voltage swings are possible. The worst case sequence for the comparator to
resolve involves a large input during one cycle, followed by a very small signal, of
the opposite sign, during the next cycle. This condition is known as the overdrive
case and is treated quantitatively in Section 5.2.1. The latch resolution time will,
generally speaking, be dependant on the magnitude of the input signal. During over-
drive conditions, tlatch will be very short during the first phase due to the large input.
Subsequently, the preamplifiers will benefit from a lot of extra settling time, which
they will need, as the weak input they are subsequently driven with limits the recov-
ery time. In this manner, self-timed bit-cycling is particularly suitable for the SAR
algorithm.

The resolution process associated with each bit, except the MSB, has the oppor-
tunity to borrow time from the previous latching phase. Although, the MSB decision
is not subject to overdrive, a condition nearly as limiting can be imposed by the
preceding sampling phase. The corresponding details are described in Section 5.1.1.
To ensure that the ADC timing is not limited by this effect, an extra half cycle has

53
been devoted to the MSB decision.

4.2 Block Architecture


This section describes block level optimizations that have been implemented in this
ADC in order to improve efficiency and facilitate scalability. Architectural concepts
are presented here, and implementation details are provided in Chapter 5.

4.2.1 Comparator Architecture


The comparator is responsible for resolving the sign of its input and generating a
full-swing digital output based on its decision. An ideal comparator will be able to
generate a decision despite an arbitrarily small input. In this sense, comparators must
have nearly infinite gain. Fortunately, their non-linear and open-loop nature implies
that cascading techniques and positive feedback can be used to increase efficiency
without suffering instability.
The next few subsections present optimizations and design approaches employed
in the comparator. Specifically, trade-offs associated with linear and regenerative
amplifier design, amplifier cascade optimization, auto-zeroing coordination, and per-
formance scaling will be considered.

Gain Optimization

As mentioned, the open-loop nature of comparators presents the opportunity for em-
ploying cascaded amplifiers and positive feedback in order to achieve very high gain.
The advantage of cascaded amplifiers is based, fundamentally, on the principle that
the total gain is the product of the individual stages, while the time-constant is the
sum of the individual stages. As a result, the constant gain-bandwidth constraint as-
sociated with a single stage amplifier is overcome. Positive feedback provides an even
more efficient means of achieving high gain, since the signal continuously regenerates
itself. This leads to a time-varying behavior, where the gain increases exponentially
with respect to time.

54
To compare the efficiencyof various amplification strategies, transconductor based
gain blocks may be considered. For a given tranconductance and load capacitance,
transconductance amplifiers, which have infinite output impedance, achieve a required
output swing faster than voltage amplifiers, which have finite output impedance. This
is shown in Figure 4-6, where the output voltage, normalized to the product of the
input voltage and GM (i.e. ou ), is plotted with respect to time, normalized to the
load capacitance (i.e. #-). Here, the transconductor acts as an integrator, exhibiting
a voltage ramp at the output. The voltage amplifiers, having Rout equal to 30 and 15
respectively, are subject to RC settling at their outputs. Assuming an output swing
of 10 is required, the transconductor provides the least delay of the three options.
Since, in the most efficient operating regime (i.e. weak inversion), amplifier GM is
proportional to current, this plot shows that high-gain stages achieve a better power-
delay product than low-gain stages. Despite improved efficiency, high-gain stages
suffer from several practical effects. These are considered later in this section.

An analytical comparison of multi-stage and regenerative amplification was pur-


sued in [26] where cascaded transconductors were assumed. Figure 4-7 illustrates the
structures compared. In the case of the cascaded linear stages of Figure 4-7a, it was
shown that the delay, tdly, to achieve a total gain of Atot is given by Equation 4.8,
where GM is the transconductance of each stage, CL is the load capacitance of each
stage, and N is the total number of stages.

CL
tdlylin -G (AttN!)N (4.8)

Then, multiplying by NGM gives an expression for the total power-delay product
of the cascade. The delay associated with a regenerative amplifier was shown to be
given by Equation 4.9.
CL l(tt
tdlyreg =-- GL In(Att) (4.9)
GM
Similarly, multiplying by GM (since only one transconductor is required) expresses
the power-delay product of the regenerative stage. The results corresponding to the
power-delay for a regenerative amplifier, as well as a 5-stage and 3-stage cascade, are

55
Output Voltage VS Time
Bra -
>u

18

16

14

"12

S 10

>1o8
0

n
0 5 10 15 20 25 30 35
t/CL

Figure 4-6: Delay for amplifiers with various output resistances.

plotted in Figure 4-8. Here, it is shown that regenerative stages possess a superior
power-delay figure of merit compared with linear stages.

The difficulty with regenerative amplifiers is that they typically suffer from large
input-referred offset. Typically offsets on the order of 50-10OmV can be expected
[27]. Accordingly, a common approach, is to use, less-efficient, offset-cancelled linear
stages to achieve a signal swing larger than the offset floor of the regenerative latch,
and then use the latch to generate full-rail, digital outputs.

In this ADC, however, analog calibration will be applied to the regenerative latch
to minimize its offset, thereby easing the gain requirements of the linear stages. Latch
offset calibration has been explored previously [26][28], however, structures suitable
for multi-step converters, such as SAR ADCs, have not been demonstrated. The
primary challenge associated with multi-step converters is that the opportunity to
calibrate does not occur prior to every decision. Calibration involves storing offset

56
0 0

(a)

CI
(b)

Figure 4-7: Comparator gain structures (a)Linear amplifier cascade (b)Regenerative


amplifier.

voltages on capacitors. However, latches typically need to be reset following every


decision. During this process, the stored offsets are lost and must be regenerated
by means of calibration. Since calibration requires application of a reference signal
at the input, it is not a viable option during bit-cycling. Specifically, in a low-
power, low-voltage design, the reference signal is difficult to generate, and difficult to
apply, as analog switches, having a degraded gate-overdrive, should be avoided in the
signal path. The circuit implementing latch calibration suitable for this SAR ADC is
presented in Section 5.2.2.

57
Power-Delay VS Total Gain
4 ^^ ..... .. . ..... .... .... .
. . .....
lIZU
Rgnrtv .
- - 5-stage
1 00
.. 3-stage .

80
# /
-j

~0 e~~~~~~~~~ee

60
E~
e e
~ ~e
~ ~ ~ ~e~
I ~ ,.%%e ""
~~~~~~~~~~~~~~~~S ,. .
ee
40 e~ a

,--
~ An
, _e-e...

20

N
10
10 101 102 10 3 10 4

Figure 4-8: Power-delay (normalized to CL) versus total gain for linear and regener-
ative amplifiers.

Preamplifier Chain Optimization

Although regenerative amplifiers are most efficient from a power-delay point of view,
achieving complete offset cancellation is difficult. Several techniques exist, however,
to fully correct offsets in linear stages. Consequently, the common approach, of using
linear stages preceding the regenerative stage, has been employed in this design. As
suggested above, linear stages may be cascaded to improve their efficiency.

Although it was shown that a desired output swing could be achieved fastest with
high-gain or transconductance amplifiers, these require input offset cancellation tech-
niques for auto-zeroing. As mentioned later, implementing this type offset cancella-
tion effectively is difficult. Low-gain amplifiers do not suffer from the same effect, and
consequently are used in this design. Specifically, a stage-gain of 3-4 is most practical
to implement. Roughly speaking, amplifying 12-bit LSB voltages (-I 100/iV) to levels

58
reasonable for calibrated latch offsets ( 5mV), requires a cascaded gain between 30
and 60. This implies that three stages of preamplifiers should be used.

Auto-Zeroing Optimization

To fully correct for static (and low-frequency) errors in linear preamplifiers, techniques
such as auto-zeroing and chopper-stabilization [29] may be employed. Chopper sta-
bilization relies on modulating the input signal to a higher frequency, amplifying it
in a low-noise frequency band, and then modulating it back to baseband. In low
power implementations [30],modulation, at the input and output, is performed using
passive, commutating switches. In the case of a SAR ADC, where the comparator
is driven by a charge redistribution DAC, injection errors imposed by such switches
on the critical nodes is prohibitive. Alternatively, auto-zeroing relies on sampling the
amplifier offset, and noise, and subtracting this from subsequent outputs.
Three common approaches to auto-zeroing are input offset storage (IOS), output
offset storage (OOS), and auxiliary offset compensation [29]. Both OS and auxiliary
offset compensation involve sensing and storing the input referred offset, by means of
feedback. For these techniques to be effective, high gain amplifiers are required, as the
offset is attenuated by the factor of 1+A 0 , where A0 is the gain of the stage. Typically,
the required gain for sufficient offset compensation is only achieved by cascoding or
gain boosting techniques. Neither of these is amenable to low-voltage, low-power
designs. Further, in IOS, charge injection errors, from auto-zeroing switches, appear
at the amplifier input, and, as a result, get amplified by A0 when reflected to the
output.
Output offset storage, however, does not require high gain amplifiers to achieve
nearly perfect offset compensation and is not as sensitive to charge injection errors.
Consequently, multi-stage, output offset compensation is employed in this ADC. The
resulting structure is shown in Figure 4-9. Here, the charge on the auto-zeroing
coupling capacitors is initially purged. This occurs at the start of the conversion
as mentioned in Section 4.1.1. Then, the DAC generates a reference signal of zero
differential amplitude (this is discussed in Section 4.2.2), and, simultaneously, the

59
three auto-zeroing switches are closed. The output-referred offset of each stage is
stored on the auto-zeroing capacitors for 1 clock cycles. Then, the first auto-zeroing
switch is opened, and the second stage is given another half clock cycle to cancel the
ensuing charge injection error. This procedure is repeated in the next stage, such
that the entire cascade is subject only to the charge injection error of switch AZ3,
which is small when reflected back to the input [22].

PRG PRG PRG

PRG PRG PRG

Clock I
PRG
AZ1

AZ2

AZ3

Figure 4-9: Auto-zeroed multi-stage preamplifiers.

Typically, auto-zeroing is performed during sampling. However, in this design,


the two operations are separated (as explained in Section 4.1.1 and rationalized in
Section 4.2.2). Consequently, the auto-zeroing time is not constrained by the set-
tling requirements of the sample-and-hold and can be optimized. The optimal time,
determined to be 1 clock cycles in this design, can be estimated by considering
the relative thermal noise contributions during auto-zeroing and bit-cycling. Figure
4-10 shows the networks relevant to noise analysis during the two phases, where it
has been assumed that the load imposed by the subsequent amplifier stage is small.
Here, the total capacitance seen during each phase should be optimized for minimum
overall power-delay in the amplifier. Specifically, since the amplifier must settle only

60
once during auto-zeroing, but, once for every bit during bit-cycling, it is beneficial to
decrease the noise contribution during auto-zeroing at the cost of increased settling
time.
-

(a) (b)

Figure 4-10: Amplifier network (a)During auto-zeroing (b)During bit-cycling.

During bit-cycling, comparator decisions are subject to the noise sampled during
auto-zeroing (on the CAZ capacitors), as well as the time-varying noise of the amplifier,
which is always present. If the amplifier implementation is set (i.e. its g, Rout, and
equivalent number of noise sources does not change between auto-zeroing and bit-
cycling), the total noise variance, v20tt is given by Equation 4.10, where CL is the
load capacitance during bit-cycling, CAZ,tot is the total load capacitance during auto-
zeroing (CAZ + CL), and is an implementation-dependant constant.

2 = + C (4.10)
vno,tot CL (4.10)

Normalizing this expression, such that the desired noise variance is equal to a, allows
CL to be expressed in terms of CAZ,tot.

CL = CA,tot 1
CAZ,tot- (4.11)
CAZ,tot - I

The overall power-delay of the amplifier can be expressed as the sum of the GM'T
products over the entire conversion. For a 12-bit ADC, this is given by Equation
4.12, where 12 clock cycles are required for bit-cycling, and the number of clock

61
cycles for auto-zeroing, K, must be determined for minimum power-delay. Note, it
is assumed that neither GM, the amplifier transconductance, nor Rout, the output
impedance, change during the conversion.

K+12
GMrT = KGMRoutCAz,tot + 12 GMRoutCL (4.12)
i=1

In the case that equally complete settling is desired during auto-zeroing and bit-
cycling, the ratio of CL to CAZ,tot is given by Equation 4.13, where the settling time
during bit-cycling is set to clock cycle.

1 Tbit-cycle RoutCL CL (4.13)


K Tazero Rout CAZ,tot CAz,tot

Now, using Equation 4.11 and Equation 4.13, CL and CAZ,tot can be expressed as
follows.

CL- K (4.14)

CAZ,tot = K + 1 (4.15)

Finally, normalizing the expression for power-delay (Equation 4.12) by GMRout gives
Equation 4.16.
EK+12 T
= + 1) + 12 +K(K (4.16)
Rot K
This function is plotted in Figure 4-11, where it is shown that minimum power-delay
occurs for K 1.6. Based on this result 1 clock cycles have been used for auto-
zeroing the amplifiers, and the load capacitances have been set appropriately. Recall,
however, to improve the cancellation of charge injection errors, an additional clock
cycle is used so that the auto-zeroing of each stage is extended with respect to the
preceding stage.

Resolution and Sample Rate Scaling

As described in Section 4.1.2, linear power savings, with respect to reduced sampling
rate, is straight forward to achieve. In this design, sample rate scaling has been

62
Power-Delay VS Auto-Zero Clock Cycles

27.5

27
:3

a:26.5

26
C
O25.5
In

a,._ 25

24.5

24

o 5.
1 1.5 2 2.5 3
Auto-Zero Clock Cycles

Figure 4-11: Normalized total power-delay of auto-zeroed amplifier.

implemented by power gating the preamplifiers and latch. This is controlled by the
SLEEP signal, shown previously in Figure 4-3.

Scaling the ADC's resolution means less overall gain is required, as the LSB voltage
in 8 bit mode is 16 times larger than that in 12 bit mode. While the regenerative
amplifier does not provide linear gain, and is therefore unaffected by this result, the
preamplifiers can benefit from the reduced requirement. However, if the same three-
stage cascade is used, the power will only be reduced by a factor of 16 . As mentioned
in Section 5.2.1, the original preamplifier cascade is limited by the thermal noise
performance requirement of the 12 bit converter. However, the reduced resolution
greatly relaxes the capacitive load requirement of the first stage. Specifically, if the
gain of each preamplifier in the three stage cascade is 4, the first two stages, providing
a combined gain of 16, are not required. The power-delay product of the last stage,
which is not thermal noise limited, coincides precisely with that achievable for an 8
bit converter. The GM sets the power, and the Routsets the delay (since the load
capacitance, which depends on parasitics, is fixed).

63
If the power consumption and speed of the ADC is dominated by the preampli-
fier performance, adjusting these parameters proportionally would only change the
maximum speed of the converter, and not its energy per conversion. This can be
seen in Figure 4-12, where the effect of doubling GM, and accordingly halving Rout is
shown. Here, the power consumption doubles during the active conversion. However,
the settling time, and therefore the clock period, is reduced by half. The net result
is that the conversion completes in half the time, and the preamplifier shuts-off for
the remainder of the sampling period. As a result, the average power consumed is
unchanged.

Clock [ 1

D i tsee=Nr=NRouTCL i

PAMP

2 Clock
CD4
II : _=__ : t'settle=Nr'=NR'ouTCL
-_
C PAMP _ _ = =NRouTCL/2

Figure 4-12: Effect of changing GM at constant amplifier power-delay.

Of course, in reality, the relative power consumption depends on the portion of the
total clock period consumed by preamplifier settling, and the portion of total power
consumed in the preamplifiers. Nonetheless, to ease the implementation of the 8 bit
mode, a single stage, identical to the last stage of the 12 bit cascade, can be used,
and the clock rate can be set appropriately. As mentioned before, analog switches
should not be used in the signal path as they are limited by low gate-overdrive in this
low-voltage design. So, to avoid multiplexing the input signal of the third stage, an
entirely separate preamplifier path is used. The final comparator structure is shown
in Figure 4-13 below. The power gating switches are used to enforce sample-rate
scaling, as well as resolution scaling, by shutting-off the inactive preamplifier path.

64
AO=GM3ROUT3

Figure 4-13: Architecture of final comparator.

4.2.2 DAC Architecture


The DAC is responsible for generating analog outputs at precisely the code tran-
sition voltages of the ADC. Figure 4-14 shows its complete architecture. Positive
and negative outputs are generated using two sets of capacitor arrays that are cou-
pled, through the top-plate sampling switch, only during sampling and auto-zeroing.
During bit-cycling, the charge redistribution process is controlled by switches, in the
positive and negative switch matrices, which drive the bottom-plates of the capacitors
to the appropriate voltages. As shown, this ADC employs a fully differential DAC.
Although the increased robustness is not crucial in 8 bit mode, and, as result, the
extra overhead may be avoided, such configurability is not easily achieved in practice.
In particular, switching from a differential DAC to a single-ended one would require
shorting the top-plate of the positive array to Vref,P. However, now, the DAC outputs
will swing around Vef,p, which may be as high as VDD. Consequently, charge loss

65
from the critical node can occur through the top-plate sampling switch. Although this
can be avoided, through proper bootstrapping, it results in a less desirable structure,
which could degrade the ADC precision in 12 bit mode.

VOUT-

VOUT+

Vref P Vre, N

Figure 4-14: Architecture of final DAC.

In a charge redistribution DAC, the ability to generate transition voltages accu-


rately depends on the matching between constituent capacitive elements. In addition

66
to proper matching, a number of other considerations are critical during DAC design.
For instance, in Section 1.1.4, the ability to convert both single-ended and differential
signals was an identified requirement. Since, internally, this ADC uses a differential
architecture, proper support of single-ended inputs can be interpreted as a common-
mode signal rejection requirement. The associated high-level implementation details
are presented in followingsubsections.

Sub-DAC

One of the limitations associated with medium and high resolution capacitive DACs
is the binary relationship between elements. Specifically, in the case of a 12-bit con-
verter, the largest capacitor is 2048 times bigger than the smallest capacitor. This
binary relationship can be broken by using a sub-DAC [31][32]. Two structures, im-
plementing M + N bit DACs, are shown in Figure 4-15. The basic principle here
involves using the main-DAC to precisely generate transition voltages corresponding
to the N most significant bits of the digital input code, and then interpolating be-
tween these using the M bit sub-DAC. The structure in Figure 4-15a uses capacitive
charge redistribution to implement the interpolation, while that in Figure 4-15b uses
a resistive string. Although the resistive implementation is inherently monotonic, it
draws static current, and therefore has not been used in this low-power design.
A major drawback of the structure shown in Figure 4-15a is that it requires an
active, unity-gain buffer to drive the bottom plate of a main-DAC unit-capacitor. An
alternative, fully passive implementation is shown in Figure 4-16 [33]. To analyze this
structure, notice that the total capacitance of the main-DAC is (2 N - 1)Co. Also, the
capacitance looking into the series combination of the coupling capacitor, Cc, and
the sub-DAC, is equal to Co. However, unlike before, the bottom plate of the sub-
DAC is involved in sampling the input. As a result, the main-DAC generates the MSB
transition voltages by treating the series combination of the coupling capacitor and the
sub-DAC as a single unit capacitor. To see how sub-DAC interpolation is performed,
consider the Thevenin equivalent circuit shown in Figure 4-17. Here, voltages derived
by the unloaded sub-DAC effect the output through the same capacitive divider

67
I
V-f N

(a) (b)

Figure 4-15: Typical main-DAC/sub-DAC implementations.

+
(with ratio ) as the previous implementations, thus 2M N transition voltages are
generated.

co--}
:2 MCo/(2 M-1)

Figure 4-16: Fully passive main-DAC and sub-DAC.

Co essentially sets the transmission gain from the sub-DAC to the main-DAC such
that proper interpolation is performed. Unlike the main-DAC, however, parasitic

68
Vrefp VrefP Co
N-I1 T M-I Thevenin
iZ b-'-W
*=Cbi2iCo i L cc 2mCo
qi2'C Equivalent

-1)Co-
(2N N'Ibo 2m
i_O""-
b - iiC.
i=Or
)Co
Ve N V,.refN VfN VfN

Figure 4-17: Thevenin equivalent circuit for analyzing passive sub-DAC.

capacitance on the top-plate of the sub-DAC causes linearity errors. Details of how
these errors originate, and the technique used to manage them, is described in Section
5.1.

Common-Mode Rejection

Device mismatch in comparator preamplifiers leads to unbalanced currents in the two


branches of the differential pair. Consequently, the gm's of the input devices are not
equal. Then, due to the finite output resistance of the tail current source, common-
mode input signals can lead to differential output voltages. Low-voltage designs,
such as this one, are particularly susceptible to this effect since the reduced headroom
leaves little margin for ensuring the tail device remains in deep saturation. Figure 4-18
demonstrates this in the case of 3 mismatch applied to the preamplifiers discussed
in Section 5.2.1. Here, the voltage at which the amplifier is auto-zeroed is varied,
while the common-mode voltage, during active operation (i.e. bit-cycling), is fixed
at 500mV. Although, perfect offset compensation is assumed, as shown, differential-
mode offsets in the millivolts are observed even if the auto-zeroing voltage deviates by
only 100mV. To avoid this, it is critical that the the preamplifiers be auto-zeroed with
the appropriate reference signal. Specifically, the reference signal should be equal to
the common-mode voltage seen during bit-cycling. Additionally, the DAC's output
common-mode voltage should be well controlled to remain within the range amenable
to the preamplifiers.

69
Input Referred Offset VS Auto-Zeroing Common-Mode
I
4

- 3
E
a)
2
o 1

o 0
i~-1
a)
c-0
C:
a)
--2
Q -3
D_3
-4

0.3 0.35 0.4 0.45 0.5 0.55 0.6 0.65 0.7


Auto-zeroing Common-Mode Voltage (V)

Figure 4-18: Differential-mode offset due to varying auto-zeroing voltage.

As mentioned, proper conversion of single-ended inputs requires the ADC to have


good common-mode rejection. By ensuring that the differential capacitor arrays
sample equal and opposite charge, the comparator will not be subject to the varying
common-mode of the input [21]. Additionally, the DAC outputs will be centered
around VrefP"+VrefN
2 ' which, by design, is desirable for the comparator preamplifiers.
This can be seen in Figure 4-19, where the charge sampled on the top-plate is indepen-
dent of the common-mode voltage, VCM. The only difference between this sampling
network and that in Figure 3-4, is that no bias is actively applied to the top-plates
of the arrays. If no charge is initially present on the capacitors, and the arrays are
well matched, the top-plates will passively settle to the input common-mode voltage.
One advantage of the purge phase, at the start of the conversion (Section 4.1.1), is
that it eliminates the need for active VOMgeneration.
Typically, auto-zeroing is performed during the sampling phase, using the top-
plate voltage as the reference. However, as shown above, during sampling, the top-
plate tracks the varying input common-mode voltage. Further, the outputs of the

70
Q = -2 CoVia/2
+

+
VCM
Q = 2NCoV/2

Figure 4-19: Common-mode independent charge sampling.

DAC during bit-cycling will not be centered around this voltage. Consequently, the
top-plate voltage during sampling is not an appropriate auto-zeroing reference. This
problem was solved in [25] by multiplexing a separately generated reference to the
preamplifier inputs. In addition to imposing charge injection errors, this approach
is not desirable in this low-voltage design, because it requires analog switches in the
signal path. As a result, a separate phase has been devoted to auto-zeroing so that
the capacitor arrays can generate an appropriate reference passively.

In order to do this, the capacitor arrays are initially purged to remove any residual
charge. Then, they are switched in a manner similar to MSB bit-cycling, which
generates a transition voltage at mid-scale. To guarantee that the preamplifier inputs
are zeroed, the top-plate sampling switch remains closed during this process. Note,
this switch may experience low-overdrive, so it is bootstrapped, as described in Section
5.1. The resulting structure is shown in Figure 4-20.

Vref P V
2'"C0o . . 2v-,
(LSB Cap's)
o2 0-"0 o

2~' Co _ _ . _ 2N-,Co
(LSBCap'si I
VrefN VrefNren

Figure 4-20: Passive auto-zero reference voltage generation using capacitor arrays.

71
Resolution and Sample Rate Scaling

Since the DAC is fully passive, no special support is required to implement scalability
in sampling rate. The array will only consume power when switched by a new digital
input code.
Ideally, scaling the ADC's resolution from 12 bits to 8 bits would allow removal
of the 2 MSB capacitors in the main-DAC and the sub-DAC such that they don't
participate in sampling or bit cycling. In practice, this is quite difficult to do. One
option would be to disconnect the unused capacitors from the top-plate so that they
are completely decoupled from the active array. This requires analog switches be-
tween critical nodes, and is, therefore, not straight forward. The use of bootstrapped
switches, to resolve this problem, is considered in Section 7.2. Another option would
be to disconnect the bottom plates of the MSB capacitors so that they do not impose
any charge loading on the active arrays. Despite disconnecting their bottom-plates,
however, the MSB capacitors continue to load the remaining arrays, as bottom-plate
parasitic capacitances cause coupling to ground. Bottom plate parasitics are pro-
portional the size of the active capacitance, and consequently, in the case of MSB
capacitors, are quite large.
Due to these practical limitations, no special technique has been used to facilitate
power scaling in the DAC with respect to reduced resolution. In 8-bit mode, the last
4 capacitors in the sub-DAC are simply not bit-cycled.

4.2.3 SAR Architecture

The SAR state machine is the digital block responsible for controlling the conversion
process. Due to the self timed nature of this design, as well as its use of clock gating
during sleep modes, asynchronous techniques are used extensively. During bit-cycling,
successive resolution of bits is triggered by completed comparator decisions. There is
the possibility, however, that the latch does not resolve, remaining in a metastable
state indefinitely. This could stall the entire bit-cycling process if it is not properly
detected. Section 5.3 describes how latch metastability is sensed, and the manner in

72
which the SAR state machine recovers from this condition.

4.3 Summary
A number of optimizations are applied to the global architecture of the ADC, as
well as to specific blocks, in order to enable a low-power, scaleable design. Sample-
rate scaling is achieved through clock-gating, in digital circuits, and power-gating in
analog circuits. Resolution scaling is achieved by activating a comparator path that
provides the appropriate gain, bandwidth, and noise characteristics for the required
precision. The efficiency of the bit-cycling process is improved by using a self-timed
scheme that relaxes the settling time requirements of the DAC and preamplifiers.
At the block level, a low-offset regenerative latch improves comparator performance
by reducing the gain requirements of the less efficient linear amplifiers. Further, the
common-mode rejection of the ADC is enhanced through proper sampling, as well as
de-coupling the sampling and auto-zeroing phases. This allows an appropriate auto-
zeroing reference to be generated passively using the charge redistribution DAC while
avoiding analog switches in the signal path. Finally, since auto-zeroing is de-coupled
from sampling, it has been optimized with consideration to the preamplifier noise
contributions during the conversion phases.

73
74
Chapter 5

Circuit Design

This chapter describes the circuit-level implementation of the ADC blocks. To achieve
low-power operation the entire ADC has been designed to operate from a V VDD.
Both the digital state machine and charge redistribution DAC benefit from the re-
duced supply due to their quadratic power dependence on VDD. Analog blocks, how-
ever, only benefit in the case of non-noise limited stages. To maximize the noise-
limited SNR, Vref,p has been designed to be as high as VDD,though it can be reduced
slightly to meet the requirements of different applications. Similarly, Vref,N is intended
to be as low as OV (ground). These values allow a differential input signal swing of
1V, and a single-ended input signal swing of V. Further, in the differential case,
it is assumed that the nominal common-mode voltage of the input is 500mV. Sub-
sequent sections use these parameters to rationalize, and develop, the implemented
circuit strategies.

5.1 DAC Circuit Design


Architectural issues pertaining to the DAC were discussed in Chapter 4. Here, imple-
mentation details will be presented through consideration of the two main substruc-
tures: the first is the switch network, consisting of the switch matrices, as well as the
sampling and purging switches; the second is the capacitor arrays of the differential
main-DACs and sub-DACs.

75
5.1.1 Switch Network
The DAC switch matrices consist of sets of switches, connected to the bottom plates
of each capacitor. These switches control the charge redistribution and sampling
processes. Figure 5-1 shows their actual implementation. The PRG, AZERO, and
SMPL (SMPL) signals enforce the corresponding phases of the conversion cycle.
The Cx (Cx) signals control successive bit-cycling for the 12 bits (or 8 bits), and
the BCYCLEN (BCYCLEN) signals are qualifiers to globally enable bit-cycling.
Their full significance is described later in this section.

Vre N VrefP AN+ Vre p V N VrefN VrefN AIN+ Vre p VrefN

0-< 0-<
I. 0 C
do co c0 0 0 rN (4 C I, ,
At A it L
- 2r-

C)
BTMPLT_11+ BTMPLT (10-)+
(a) (b)

BTMPLT_ 11- BTMPLT (10-)-


I? ?-

Vref,N
M
N)
0

VreffN
-

AIN-

(c)
i
I-
2- <
-

1~~~n
Vrefp
-

Vf N
I CL CL~(i

LL
0
X
VrefN
An1co
'r-
0o 1<
0

Vrefp AIN-

(d)
l

-
OW

Vrefp
OW

'--

Vrf, N

Figure 5-1: Implementation of switch sets in switch matrices (a)MSB switch set for
positive array (b)Bits 10-0 switch set for positive array (c)MSB switch set for negative
array (d)Bits 10-0 switch set for negative array.

The switch sets associated with the positive and negative arrays have inverted

76
functionality during the bit-cycling phase (i.e. the bottom-plate Vref,N and Vref,p
connections are reversed), as shown. Further, during auto-zeroing, the capacitor ar-
rays are switched in a manner similar to MSB bit-cycling, where the largest capacitor
in the positive (negative) array is connected to Vref,p (Vref,N), and the remaining
capacitors are connected to Vef,N (Vref,p). As a result, the switch sets associated
with the MSB capacitors are slightly different than those for the other capacitors.
The purge phase is shown in Figure 5-2a. Here, the bottom-plates of all capaci-
tors are driven to Vref,N (which is nominally ground), and the purging switches are
closed across the top and bottom plates. Following this, during the auto-zeroing and
sampling phases, the top-plate sampling switch shorts the top-plates of the positive
and negative arrays, equalizing any residual charge injection mismatch from the purg-
ing switches. The sampling phase is shown in Figure 5-2b. Physically, the top-plate
switch is implemented as a single NMOS device. Both during auto-zeroing and sam-
pling, it can be subject to low gate-overdrive, as its source and drain are at a voltage
near mid-rail. As a result, its gate is bootstrapped using the charge-pump described
in Section 5.5. The input switch, which is implemented as the CMOS transmission
gate shown in Figure 5-1, can also be subject to low overdrive for input voltages near
mid-rail. Consequently, the NMOS is bootstrapped in a similar manner.
VIN.
Vref~N VrefN VreN (lV toOV) Input
switch
Purging
7-
switch ... '7 -7 h
Top>-pla
te ~ VTop-plate
switch switch

Purging .I

switch
put
VreN reN VefN VIN- switch
(OV to IV)
(a) (b)

Figure 5-2: DAC network (a)During purging (b)During sampling.

Proper control of the top-plate sampling switch is critical to the precision of the
sample-and-hold operation. If correct timing is not ensured, excessive injection er-

77
rors, and even loss of sampled charge can occur. Specifically, following the sampling
phase, the top-plate sampling switch must turn off before the input switches turn off,
and before the Vref,P and Vref,N switches turn on to bit-cycle the MSB. Since these
operations all occur, nominally, during the same phase, special circuitry is required
to enforce their sequence.
When it turns off, the top-plate sampling switch imposes charge injection. This
directly affects the sampled value and introduces error if there is any mismatch be-
tween the charge injected on the positive and negative arrays. The precise proportion
with which channel charge leaves the source and drain depends on the interaction
between the device's capacitances with the load impedances on either side. To mini-
mize residual mismatch, the impedance on either side of the sampling switch should
be well matched. If the bottom-plate input switches turn off before the top-plate
sampling switch, the capacitor arrays appear as a large impedance in parallel with
main-DAC's top-plate parasitic capacitance. As a result, injection charge from the
top-plate sampling switch will depend on the, relatively small, and poorly controlled
parasitic capacitance, as shown in Figure 5-3. By ensuring that the bottom-plate
input switches turn off after the top-plate sampling switch, the injected charge can
be directed to the large, well-matched capacitor arrays. Consequently, the SMPL
and SMPL signals driving the input switches are delayed using a series of weak in-
verters. The matching of the loads is further improved through input switch sizing
as discussed later.

Figure 5-3: Distribution of injection error determined by poorly matched top-plate


parasitic capacitances.

At the start of bit cycling, the charge redistribution process begins when the

78
bottom plates of the capacitor arrays are driven to Vref,p or Vref,N. At this time,
differential outputs are generated by the DAC. Consequently, it is critical that the
top-plate sampling switch be closed, or charge will be exchanged between the positive
and negative arrays, corrupting the input sample. Since the input switches and
the sampling switch are overdriven by relatively slow charge-pumps (described in
Section 5.5), their turn-off time is difficult to predict. To ensure proper timing,
the BCYCLEN and BCYCLEN signals are qualified, as shown in Figure 5-4, by
buffered versions of the bootstrapped sample signals. This guarantees that bit-cycling
will not begin until the sampling switch is completely off.

BCYCL \
BCYCLEN

BCYCLBC
SMPL.DLY--- LE
SMPL_DLY BCYCLEN

Figure 5-4: Qualification of BCYCLEN and BCYCLEN signals with delayed sam-
pling signals.

Input Switches

As mentioned, the NMOS of each input switch in the switch matrices is overdriven
to ensure the resistance of the CMOS transmission gate is not excessive. Specifically,
sufficient settling time must be ensured, during the sampling phase, for analog inputs
near mid-rail. The time-constant associated with the sample-and-hold can be esti-
mated by considering the half circuit consisting of one CMOS input sampling switch,
one of the differential capacitor arrays, and half of the top-plate sampling switch.
For the used geometries, the worst case resistance of each input switch is 6.5kQ,
while, at the expected common-voltage (500mV), the resistance of the top-plate sam-
pling switch is 4.6kQ. Although, each capacitor in the array has an associated time-
constant, a conservative analysis assumes that the entire array (which imposes a load
of 7pF) is charged by a single input switch. This results in a time-constant, Tsmpl, of
approximately 62ns. For 12 bit accuracy, a settling of at least 9 time-constants is re-

79
quired. Consequently, 1 clock cycles of a 2MHz clock are sufficient for the sampling
period. The entire array, with distributed time-constants, was simulated to confirm
this result.
In addition to settling time considerations, symmetry in the input switches is
critical to minimizing charge injection errors. As mentioned above, keeping these
switches "on" helps match the load impedance on either side of the top-plate sampling
switch. This matching is further preserved by sizing the PMOS, and overdriven
NMOS, such that the non-linear resistance of the input transmission gate is symmetric
about the input common-mode voltage. The equivalent resistance is shown in Figure
5-5, for the used geometries and gate voltages; the typical process corner has been used
for this simulation. Here, the difference in resistance is less than 300Q (approximately
10%) for differential input voltages centered around 500mV.

Input Switch Resistance VS Input Voltage


4UUU

3500

') 3000
0

. 2500
a:

2000

1 Ann
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
Input Voltage (V)

Figure 5-5: Equivalent Resistance of input switch with respect to input voltage.

Finally, the input switches introduce non-linearity to the sample-and-hold process


due to their dependence on input voltage. The effect they have on degrading SNDR
depends on their precise voltage dependence. This is considered further in Chapter

80
6.

Reference Switches

The source-drain regions of the top-plate sampling switch, which is implemented using
an NMOS device, introduce parasitic PN-junctions on the critical top-plate nodes, as
shown in Figure 5-6.

VIN+ VIAL

VrNB Vref~p Vrep VrN


_
0-
Nr I-

_owo1
0-1 'I-

J,._~_3
r

Figure 5-6: Parasitic PN-junction on top-plate.

During sampling, a voltage is generated across the array capacitors. Subsequently,


after the sampling switch is opened, the impedance on the top-plate node is high,
and the bottom plate is driven to Vref,N or Vref,p. As a result, top-plate voltages
beyond the rails are possible. Specifically, when the MSB is bit-cycled, following
the sampling phase, the voltage on one of the top-plate nodes can undershoot below
ground, forward-biasing a PN-junction. As a result, top-plate charge can be lost,
corrupting the sampled input. To avoid this, the PMOS devices, which connect the
capacitor bottom-plates to Vref,p, have been sized much stronger than the NMOS
devices, which connect the bottom-plates to Vref,N. As a result, the top-plate voltage
never undershoots below ground, and no charge is lost.
A drawback to this approach is that the DAC outputs can spike in the wrong
direction during MSB bit-cycling before settling to the correct value. As shown in
Figure 5-6, all capacitors in the negative array (right hand side), except the largest
one, connect to Vref,p, whereas in the positive array (left hand side), only the largest

81
capacitor connects to Vref,P. Due to the strong PMOS devices used to make the Vref,p
connections, the top-plate of the negative array initially approaches Vrefp faster than
the top-plate of the positive array, even if a negative input voltage is sampled. This
transient impulse is shown in Figure 5-7 below. Here, during the sampling phase
a voltage near 1LSB is applied to the array. Then, at the start of MSB bit-cycling
(BCYCL 11),the DAC's negative output (VouT-) rises sharply, and a negative pulse
is observed at the differential outputs ( 3 rd plot). Figure 5-8 shows the slow settling
during this period as the weak NMOS device, which is connected to Vref,N, finally re-
distributes the charge, generating an output with the correct sign. Due to bandwidth
limitations, the impulse seen here is smeared out in time through the comparator's
preamplifier chain. As a result, the comparator is subject to a near overdrive con-
dition. Since self-timed bit-cycling (explained in Section 4.1.4) leverages latch time
borrowing, which benefits all bits except the MSB, an extra half clock cycle is given
to MSB bit-cycling so that it does not limit the ADC's performance.

Purging Switches

The purging switches short the common top-plate node to the six, separate bottom-
plate nodes of each capacitor array. Their implementation is shown in Figure 5-9
below. Here, a half-sized dummy switch, MN2, is used to minimize charge injection
error. It turns off slightly after the main switch so that its injected charge is not lost,
through the switches, to the bottom plate. Although, it is not strictly required, this
device does help control the common-mode output voltage of the DAC, by reducing
extraneous top-plate charge. In the structure shown, there is a single NMOS associ-
ated with the top-plate, MN1, and one for each of the bottom plates, MN3 - MN8.
This arrangement minimizes the top-plate parasitics during the conversion process,
by decoupling MN3 - MN8 from the top-plate when MN1 turns off.

82
0,

co
0
1

0.5 _
.
I
|
I
I
I
--- -
Conversion Phase
I

-
I

I- -
-

i
I
I
SAMPLE
BCYCL_11

0 I I
-

4 4.2 4.4 4.6 4.8 5 5.2


DAC Ouput Voltages
1
I I

i-1'
I

[I.~~~~%- OUT+
I I

OUT-.
I

a)
0s0.5 - 1. 11V -

o3

0
4 4.2 4.4 4.6 4.8 5 5.2

0.5

a,
0) 0
0
-0.5
4 4.2 4.4 4.6 4.8 5 5.2
Time ( s)

Figure 5-7: Waveforms showing the origin of a transient spike during MSB bit-cycling.

5.1.2 Capacitor array

As mentioned in Section 3.3, mismatch in the capacitor array is the dominant source of
low-frequency non-linearity in the ADC. Since this ADC does not employ capacitor
calibration [34], the elements must be sized and laid-out appropriately to manage
mismatch. Additionally, during the sampling process, thermal noise can limit the
SNR of the ADC.

During the sampling phase, the sub-DAC appears as a unit element. The total
capacitance seen by the input, is the series combination of two arrays, each having
a total value of 26Co, where Co is the unit capacitance. The resulting sampled noise

83
DAC Differential Output Voltage (VOUT - VOUT_)
1

0.8

0.6

0.4
,-

>E 0.2
0)
0) 0

O -0.2

-0.4

-0.6

-0.8

-1
4.5 4.55 4.6 4.65 4.7
Time ( s)

Figure 5-8: Zoom-in of DAC differential output voltage as it recovers from a negative
spike to the correct positive voltage.

power is given by Equation 5.1.

2 kT (5.1)
Vnosmpl 32C
32o

This relation suggests that, with a signal of V amplitude, a sampling-noise limited


SNR of 74dB (12 bits) is achievable with a unit capacitance of 6.5fF. The analysis
below shows that capacitor sizing is instead limited by matching requirements.
Poly-poly capacitors have been used for the DAC arrays due to their superior
matching characteristics and stability. To determine the sizing required for sufficient
linearity, consider the static transfer characteristic of the ADC. DNL is a measure of
the deviation of code transition voltages from the ideal LSB voltage (after correcting
for gain error). In the case of a 12 bit SAR ADC, the transition voltage associated
with digital code 2047 is derived by the voltage divider shown in Figure 5-10a, where

84
TPPLT

1-1..... (Half-size
[ MVZ dummy
_I/VlN ' ___switch)
__

MNI

Ppr- ih1 i&i&


emii& iL'ARIAAAID
'H Lid 'I-a I-a'- IN~ l~I][wivIo-JnvIc
, Q 0 C co u .
I~1 I~1 I_.J 1 1 k

Q3 Q Ca Q3 ~ Q

Figure 5-9: Implementation of purging switches.

the MSB capacitor and one unit capacitor are connected to Vf,N, and the remaining
capacitors are connected to Vref,p. The next transition voltage, associated with digi-
tal code 2048, is derived by the voltage divider shown in Figure 5-lOb, where all the
switchable capacitors are reversed. The worst mismatch scenario is where the MSB
capacitor has a positive or negative error bias with respect to the remaining capaci-
tors. Applying these considerations to the matching characteristics of the technology
(provided by the vendor), a unit capacitance of 100fF was determined to be sufficient.

Vef P VrefP

2N 1-Co "

2N2 CO . 2C o co co
_ _
V,.f A
Code 2047 Code 2048
(a) (b)

Figure 5-10: Capacitor mismatch leading to largest DNL.

In addition to sizing, proper layout techniques are essential to ensuring the best
matching of capacitive elements. Common-centroid arrangement has been used to

85
minimize the effects of linear process gradients, and dummy devices have been em-
ployed to ensure all elements are subject to similar etching environments. Further, a
metal, electrostatic shield is used above the poly-poly capacitors to isolate their top-
plate from the bottom plate routing wires [35]. Coupling between the top-plate node,
which is common to all capacitors in the array, and individual bottom plate wires
adds to the net capacitance of the associated elements. If this is not well controlled,
it can be a significant source of element mismatch. Note, the solid metal shield does
increase the parasitic capacitance to ground associated with the top-plate. However,
this does not affect the linearity of the ADC, at least in the case of the main-DAC.
Parasitics associated with the top-plate of the sub-DAC are discussed below.

Sub-DAC Transmission Compensation

Parasitic capacitance on the top-plate of the sub-DAC leads to linearity errors in the
ADC. This can be seen in Figure 5-11, where the Thevenin equivalent of the DAC
network is considered. As mentioned in Section 4.2.2, voltages generated by the sub-
DAC, V 5 ubDAC,are reflected to the output through the capacitive division between the
net unit capacitor, Co, and the total main-DAC capacitance, (2 N - 1)Co. In this way,
the sub-DAC interpolates between transition voltages generated by the main-DAC
array.

Vef p Vrf p Co

CI
b2
i 0 Cc~~12C
0Equivalent
M-1. Thevenin 1)

N-I -I '
(2 -1)Co -,2Cco CoI
q
bi~~2MCo,5-Zq2'Co mainDAC Cp VsubDAC

VefN VefN

Figure 5-11: Effect of parasitic capacitance on sub-DAC top-plate.

However, as shown in Figure 5-11, parasitic capacitance on the top-plate of the


sub-DAC, has the effect of attenuating the sub-DAC output through the resulting
parasitic capacitor divider (formed by 2MOo and Cp). The sub-DAC is, thus, subject

86
to gain error, which manifests itself as a constant compression in code transitions
within the interpolation range. However, this appears as non-constant deviations
at the main-DAC transistion voltages, and thus contributes non-linearity. A sample
transfer charcteristic, with a 2 bit sub-DAC, is shown in Figure 5-12 below.
z*:A
.... :: ...
- ainLJA transltions
---------
Ideal subDAC transitions
- Compressed subDAC .
transitions .

Max. I

. . .

Figure 5-12: Errors in ADC transfer characteristic due to compression in sub-DAC


interpolation.

In this design, the resulting non-linearity has been minimized by adjusting the
transmission gain of the sub-DAC, which is set by the coupling capacitor, Cc. By
increasing Cc, the effective weight of the sub-DAC interpolation increases, compen-
sating for the attenuating effects of the parasitic capacitance. The top-plate parasitics
were estimated to be approximately 200fF based on RC extraction of the layout. A
zoom-in of the resulting INL for the first 320 codes of this 12 bit converter, with no
adjustment to Cc, is plotted in Figure 5-13. Here, as expected, a saw-tooth pattern
is observed with a period equal to the sub-DAC interpolation range (i.e. 26). The
worst case INL is -1.9LSB.
Figure 5-14 shows the maximum INL expected for various values of Cc. The
uncompensated value is 101.59fF. However, as shown, INL is minimized for a Cc of
104.76fF. The value used in this ADC was set accordingly. Note, adjusting Cc in this
manner introduces gain error since the mainDAC no-longer sees a unit capacitance
looking into the coupling capacitor. Figure 5-14 shows INL after proper gain-error
correction.
Since the method used here requires estimating the total parasitic capacitance on

87
INL VS Code
0

-0.5
m
_J 1
-J
z
1.5

-9
0 50 100 150 Co 200 250 300
Code

Figure 5-13: INL due to 200fF parasitic capacitance on top-plate of sub-DAC.

INL with subDAC Parasitics VS Coupling Capacitance


4

3
m
cn2
-J
-J1
z1
E
xc -

-2

_q
100 102 104 106 108 110
Coupling Capacitance (fF)

Figure 5-14: INL after adjustment of coupling capacitance Cc.

the top-plate of the sub-DAC, it is worth evaluating the sensitivity of the INL to that
parameter. Figure 5-15 shows, the resulting maximum INL if the actual parasitic
capacitance differs by 100fF (50%) in either direction. In this range, a maximum INL
of less than 0.8LSB is observed, so, some error in the estimated parasitic capacitance
can be tolerated.

88
INL with predicted subDAC Parasitics VS Actual parasitics

c 0.5
-J

E
' -0.5

_1
100 150 200 250 300
Parasitc Capacitance Capacitance (fF)

Figure 5-15: INL, after Cc adjustment, resulting from errors in estimation of parasitic
capacitance.

Edge Effect Minimization

As mentioned, an array of dummy capacitors are used around the active elements to
ensure a uniform etch environment. The top and bottom plates of the dummy ele-
ments are grounded. A conventional layout of this sort would look like the array shown
in Figure 5-16a [27][35]. Here, the array has a total of six binary weighted capacitors,
Co - C5 (where C5 = 25C0 ), in an interleaved and common-centroid arrangement.
However,the conventional common-centroid array suffers from non-linearities due
to the parasitic coupling between the bottom-plates of the poly-poly capacitors and
the top-plates of neighboring elements. This is shown in Figure 5-17, where Cpl to Cp3
all cause coupling to the common top-plate of the array, increasing the net capacitance
of the actual element. Cp4, however, causes coupling to the top-plate of a grounded
dummy device, and does not effect the net capacitance of the element. Thus, since
active elements on the outside edge of the array do not experience the additional,
parasitic increase in their capacitance, they are subject to a source of mismatch.
Figure 5-16b shows our layout approach which was used in this design. It attempts
to equalize the ratio of outside edges to capacitance for the largest capacitors. It is

89
. .
D:mrmy Atrayl: ,,~I
. I I i

-----
I I
05 C5
-----
Ci
jC41j i 4
C4 C4 -. . -I-I : C5
-----
i
----- I, I G2
,
c !c3', I I co C1i3 2; ----- --3 -G2 ,
1It5
"i ,,
02
" -G3-

!i !i: (a)
* ~! !, !
----- c3jc
ClI tCoCr.2
I3
..... ii , , 04i
5, i , i -----
! ! ! ! ! ! ! ... ----- P4 I I I C5 C4
-----
i i i C. i i i
C5
----- : I , I I ray
, I
I i Dummy Array,
Dumnb"y Array' I I iDumn, yAry

(a) (b)

Figure 5-16: Capacitor array layout (a)Conventional common-centroid (b)Equal-edge


ratio common-centroid.

critical to focus on the largest capacitors, since their errors have the most impact on
the linearity of the ADC. In this case, C5, C4, and C3 are subject to 18, 10, and 4
outside edges, respectively; the ratios of outside edges to capacitance are 32,
32' 1,
16' and

4. The corresponding ratios in the conventional case (24, 4 and ) are not as well
matched.

Dummy r772 77
Elementsl I .-
. i .. 1 I I-A64i t -Ground
-I
F7J
-- C ICP LS
PI M 7,4
I Nowmom0mom mm 0 mm _" I- - Top-Plate
V
Active
Elements 'I
PI
a No W" 0 _rf M" Im
go" a" I

Figure 5-17: Bottom-plate to top-plate and dummy array coupling in poly-poly ca-
pacitor arrays.

90
5.2 Comparator Circuit Design

The comparator auto-zeroing strategy was introduced in Section 4.2.1. As shown in


Figure 5-18a, the first two stages of the 12-bit preamplifier cascade are auto-zeroed
at a high impedance node. By design, the optimal input, and output, common-mode
voltages are equal to mid-rail. Consequently, instead of active biasing, an initial phase
to purge the charge on the capacitors is all that is required. Note, however, sufficient
settling, requires that the auto-zeroing switches, which are implemented using NMOS
devices, be overdriven using the charge-pump circuit. The final preamplifier, stage,
which drives the latch, must have an output common-mode voltage slightly less than
mid-rail. Consequently, it is auto-zeroed at the required bias voltage, as shown in
Figure 5-18b. Generation of this bias point is considered in Section 5.2.2. Here, the
auto-zeroing switch is delayed with respect to the biasing switches to equalize their
charge injection error. Additionally, half-sized dummy switches not shown are used.

_ _

(a) (b)

Figure 5-18: Implementation of auto-zeroing switches (a)First and second stage of


12-bit cascade (b)Last stage (only stage for 8-bit path).

The remainder of this section discusses the design details associated with the
preamplifiers and offset calibrating latch.

91
5.2.1 Preamplifers
The preamplifier circuit is shown in Figure 5-19. This topology allows for a compact
layout compared to a resistively loaded differential amplifier, and it achieves a good
balance of offset and gain characteristics. Specifically, the VGSof the diode connected
loads limits the output swing, easing overdrive recovery. Despite the reduced output
range, however, offsets (due to 3a device mismatch) do not degrade the gain severely,
as in the case of a current source loaded differential amplifier.

VOL

SLEEP

Figure 5-19: Preamplifier circuit.

In Figure 5-19, the input devices, MN1-2, are biased in weak-inversion to maximize
gm at the given current level. The load devices are biased in strong-inversion such
that the gain of the stage is between 3 and 4. The devices MP3 and MN4-5 facilitate
sample-rate scaling, by performing power gating, such that the preamplifiers shut off
between conversions. Additional design details are considered below.

Noise Considerations

The preamplifiers are central to determining the actual precision achieved by the
ADC. Excessive flicker and thermal noise can exceed the quantization noise, limiting
the overall dynamic range. Auto-zeroing acts as a high-pass filter [29], and is effective
at managing flicker noise. Here, design constraints imposed by thermal noise are

92
considered.
The noise contributions of the diode connected loads, MP1-2, appear directly at
the outputs, and are attenuated by the square of the amplifier's gain, when input
referred. To simplify this analysis, the total noise will be estimated by considering
the weak-inversion input devices, MN1-2. Their combined input referred noise power
is given by Equation 5.2.
V2 2(2nkT)Af (5.2)
gin,2

The integrated noise depends on the amplifier's bandwidth, which is set by the output
resistance, Rout, and load capacitance, CL1. Alternatively, Roat can be expressed in
terms of the amplifier's gain, Ao, giving the following expression.

- nkT
Vni = (5.3)
AoCL1

From Equation 5.3, the load capacitance required to achieve 74dB of SNR (i.e. 12
bits), is 104fF. The actual value used in this design has been set more conservatively
to account for the accumulation of other errors.

Settling Time

The time-constant associated with the first preamplifier is set by its Rout and the load
capacitance, CL1,determined from the noise analysis above. Since subsequent stages
are not noise limited, their load capacitance is determined by the fixed parasitics
associated with their outputs. Accordingly, RC extraction of the layout provides a
reasonable estimate for these output capacitance, CL2 and CL3,associated with each
of the next two stages. The output resistance of the amplifiers is, then, constrained
by the settling-time required of the cascade.
Specifically, the overdrive case, where the preamplifiers are driven strongly in one
direction, and then weakly in the other direction is considered. The corresponding
waveforms are shown in Figure 5-20. Although the full-rail swing of VDD is not
possible due to saturation in the amplifier, it can be used to analyze the worst-case
overdrive, where the output of the cascade must recover from +1V. Assuming a

93
subsequent output swing of 5mV is required to ensure the latch resolves correctly, the
settling requirements of the cascade can be derived. If each of the three preamplifiers
has a gain of 3, a -LSB input voltage (-240/pV) will ultimately settle to -6.75mV at
the output. The number of time-constants, N, required to exceed the required 5mV
swing is given by Equation 5.4.

75mV

Figure 5-20: DAC and preamplifier waveforms during overdrive recovery.

N-l~~11- 1.00675)
N =-In 1.005 = 6.35 (5.4)
1.0065
The preamplifiers are allowed to consume most of the clock period during bit-
cycling, since the digital logic and DAC impose very little delay and settling time.
As mentioned in Section 4.1.4, the latch resolves quickly during overdrive conditions,
allowing the preamplifiers to borrow a significant amount of time to recover. In
this design, to ensure some timing margin, approximately 350ns, of the 500ns clock
period, have been allocated to the preamplifiers to recover from overdrive. Assuming
the preamplifier stages are identical, their output resistance, Routis constrained by
the overdrive recovery time and the settling requirements. This is shown in Equation
5.5, where the method of zero-value time-constants has been applied.

NRt(CL + L2 + CL3) = 350ns (5.5)

The maximum output resistance is derived using CL1, CL2, CL3, and N from above.
Accordingly, for a stage gain of 3-4, the minimum GM of each amplifier can be derived,
establishing the power consumption of the cascade.

94
Bias Current Optimization

The above result was derived under the assumption that the GM's and Rout's of all
stages are equal. Since the time-constant of the first stage, which is loaded by the
largest noise limiting capacitor, likely dominates the delay of the cascade, reducing its
Rout can benefit overall performance. Specifically, reducing the overall time-constant,
Ttot, while keeping the total power constant requires increasing the GM of an earlier
stage, so that its Rout may be reduced, while decreasing the GM of a later stage.
Essentially, this corresponds to overall power-delay optimization, where the power is
constant, an the delay is minimized. In the case of the three-stage cascade, consider
increasing the transconductance of the first stage to GM + aCGM,and reducing the
transconductance of the third stage to G - GM. The resulting change in time-
constant is given by Equation 5.6.

Gm GM
ATtot= CLRout( G - 1) + CL3RoutGM GM - 1) (5.6)
Gm + Gm Gm - GM

The percentage change in the overall time-constant is plotted in Figure 5-21 for the
CL1, CL3, and Rout values of this design. As shown, the time-constant is minimized
for a equal to 0.4. Although this optimization does not give the global minimum, it is
a tractable approach and gives a result that is practically as close. The preamplifier
bias currents are, thus, set accordingly.

Biasing Circuit

Figure 5-22 shows the biasing source employed to generate the preamplifier tail cur-
rents [36]. Here, MN1-2 are in weak-inversion, and MP1-2 are in strong-inversion to
improve the matching of the mirror. The current setting resistor is off-chip so that
the bias currents may be manually adjusted during testing. However,the required re-
sistance is approximately 4kQ, which is amenable to integration if desired. Like the
preamplifiers, the biasing source is power gated so that it may be turned-off between
conversions. Additionally, during testing, the entire source can be disabled, to allow
an external biasing option through MP4. Finally, although it is likely not needed, a

95
Percentage Change in Time-Constant VS Changes in GM
Mu

15

10

5
-0

0
00 -5
.!,

-10

-15

-20
0 0.2 0.4 0.6 0.8 1
U

Figure 5-21: Change in cascade time-constant with respect to fractional changes in


Gm.

start-up device, MN3, has been used in this design. Since the non-zero, equilibrium
current is quite low (lfA), the difference in the leakage currents of MN1-2 (due to
their sizing ratio) should force the circuit to start up by itself.

5.2.2 Offset-Calibrating Latch


A simplified schematic of the offset calibrating latch is shown in Figure 5-23 below.
Here, MP1-MP2 form a regenerative load, MN1-MN2 form the input pair, and MN3-
MN4 form tail biasing sources, whose drains can be isolated. As described below,
this allows the differential structure (composed of MN1-MN4) to be configured as two
separate voltage-followeramplifiers. The remaining devices facilitate the calibration
operations.
Complete operation of this circuit occurs over two phases: an auto-zeroing phase
and a reset-resolve phase. The auto-zeroing phase coincides with auto-zeroing of the
preamplifiers and is used to calibrate the relative offsets of the voltage-followers. The
reset-resolve phase coincides with bit-cycling and allows successive latch decisions to

96
I
Off-chip

Tail bias

Figure 5-22: Preamplifier biasing source.

be made while enforcing offset cancelation.

Auto-Zero Phase

Here, operation of the latch during the auto-zeroing phase is considered. As men-
tioned, MN1-MN4 can be configured as voltage followers, thereby reflecting incremen-
tal differences in the input voltages to the source nodes of MN1-2. The purpose of
the auto-zeroing phase is to eliminate the differential offset in these source voltages.
Figure 5-24 shows the relevant circuit elements. The gray devices are turned off by
driving the appropriate values onto their gates (through switches not shown). During
this time, it assumed that zero differential voltage, with the proper common-mode
value, is applied to the inputs. This is achieved using the biasing circuit described
later.
Initially, switches cscd, SdiffMd, and Sfb are all closed. This biases MP7-8 and
MN3-4 while forcing the source voltages of MN1-MN2 (i.e. the follower outputs) to be
equal. If SdiffMd were open, the difference in the source voltages would be determined
by the VGS's of MN1-2, which depend on the devices' drain currents. Offsets in MN3-4
and MP7-8 would cause a difference in the drain currents, and offsets in MN1-2 would

97
VB

MP MP MPI MP2 P4 P 8

T<~~~ >

V9dMP5

VIN+
DEVOUT.

MA{I
5
VOUT+
CMP6mC~

RSLV

MN2 VLVIN/
T
MN3 MN4

Figure 5-23: Simplified schematic of complete offset-calibrating latch.

cause VGS shifts. In this case, however, current flows through SdiffMd to equalize the
source voltages.

During the second part of the auto-zero phase, Scscd and SdiffMd are opened.
Firstly, if the feedback connection through Sfb is ignored, this dramatically increases
the impedance at the drain of MN1-2 due to the resulting NMOS and PMOS cascodes.
Secondly, the current path through SdiffMd is eliminated, exposing all offsets by
changing the branch currents. A block diagram of the resulting structure is shown in
Figure 5-25. The feedback network is composed of a transconductor, gmn3,4, driving
the high impedance node at the drain of MN1-2, hg. This drain impedance is the
equivalent resistance, Rd, of the parallel NMOS and PMOS cascodes. Here, the
transconductor current, ifb, is combined with the incremental offset current, s, which
is caused by opening SdiffMd. The resulting current, ierr, is multiplied by the output
resistance of the voltage-follower, Rfllwr, which is approximately equal to r3, 4

gmp5,6Top5,6ro7,8
The feedback loop attempts to minimize err and, therefore, attenuate
grmnl,2ronl,2
incremental changes in the voltage-follower outputs, Vout,fwwr.

98
Scscd :d

VIN+LA MNI

Sib Sib
_ _ SdfflUd

S~~~b-
~I~
~I
c~~~~~hN SdI.~ Z- cl7

Clock
Auto-Zero,
SI
Scsd, SdffMd J
RSLV

Figure 5-24: Offset compensating latch during auto-zeroing.

Intuitively, the feedback loop can be thought of as means of enforcing drain cur-
rents in MN1-2 that are equal to those before opening SdiffMd. Since the drain
currents determine the corresponding VGs's, the source voltages will return to their
original values. Specifically,opening SdiffMd causes incremental changes in the branch
currents. When these appear at the high-impedance drains of MN1-2, they result in
large voltage swings which are fed-back to the gates of MN3-4. Consequently, MN3-4
are rebiased so that the incremental voltage is eliminated. This requires canceling
the incremental current, returning the source voltages to their original values.
The calibration loop may also be analyzed using a small-signal circuit model. For
simplicity, the impedance of the PMOS cascode, composed of MP5-MP8, is assumed
to be infinite. The resulting right-half calibration circuit is shown in Figure 5-26,
where ios represents the change in current caused by opening SdiffMd, and Vcal is the
incremental change in MN4's gate voltage. Once again, resulting changes in Vout,fllwr

99
Vout,nfwr

Vh9

Figure 5-25: Block diagram of latch calibrating circuit

reflect errors in the desired calibration.

41-
to2 gn vin- outJY T

i0s VOUoYAW

I -,
rod g9 V-

Figure 5-26: Latch voltage-followercalibration circuit.

The following equations are derived from KCL and KVL analysis.

los - r4 + gm4Vcal (5.7)

Vcal = Vout,fllwr + Voutfllwr9gm2ro2 (5.8)

From these, the error in the follower output voltage can be determined with respect
to io0 s.
2
Vout fllwr __ ro4 i os (5.9)
1 + gm4ro4(1 + gm2ro2) gm4gm2ro2

Now, to estimate ion, a Thevenin equivalent of the voltage follower outputs can be
considered. Figure 5-27 shows the resulting structure, where the output impedance
is approximately equal to 1
gml, 2
if rl01,2 are large and the drain impedances are low.
Note, the drain impedances before opening Scad should be considered here. Then,
0 can be approximated
ios by Equation 5.10, where vos is the uncalibrated, half-circuit
voltage-follower offset voltage.

100
1/gm 1 1/gnm2

I-
)Vflwrid/+Vos

Figure 5-27: Latch voltage-follower equivalent circuit during first half of auto-zeroing
phase.

ios = gm2Vos (5.10)

Using Equation 5.9, the follower offset voltage, after calibration, is given by Equation
5.11, where, as shown, the uncalibrated offset is attenuated by an amount near the
intrinsic gain.
Vout,fllwr = V (5.11)
gm4r o2

Figure 5-28 shows the simulated waveforms observed during the auto-zeroing
phase. Here, 3 offset voltages have been applied to each pair of devices in the
latch circuit by placing an ideal voltage source in series with one of the gates. The
voltage sources have been applied so as to give the worst-case, overall offset. As
shown, during the second part of this phase, when Scd and SdiffMd open, the gate
voltages of MN3-4 get re-biased such that the outputs of the voltage-followers con-
verge. Note, at the end of this phase, the voltage-follower outputs are not exactly
equal, differing by approximately lmV.

Reset-Resolve Phase

Here, operation of the latch during the reset-resolve phase is considered. Figure
5-29 shows the relevant circuit elements. Once again, the gray devices are turned
off by driving the appropriate values onto their gates (through switches not shown).
Additionally, during this phase, RSLV is high, and the parallel NMOS and PMOS
devices, MN5-6 and MP5-6, effectively short their source-drain connections.
While Clock is high, the sources of MN1 and MN2 are de-coupled, and their

101
1
2a1)
, 0.5

> 0

5 0.4'
a)
,0.4
-o
> O..

- 0.15
a, 0.1
0)
= 0.14
0
o0.1
0.1,
3.4 3.6 3.8 4 4.2 4.4 4.6
time ( s)

Figure 5-28: Latch circuit waveforms during the auto-zeroing phase.

voltages are determined by VIN+ - VGS,MN1 and VIN - VGS,MN2, respectively. Of

course, VGS,MN1and VGS,MN2are set by the bias currents, which were calibrated to
result in equal source voltages for zero differential inputs. To understand the behavior
of the regenerative loads, MP3-4 should initially be ignored. Since Saux and Srgnrt are
closed, positive feedback is disabled, and the voltages generated across Crgnrtl,2 hold
MP1-2 at a stable bias condition for the given branch currents and device offsets.
However, at the falling clock edge, Saux and Srgnrt open, and SdiffMd closes. If

the source voltages of MN1-2 were initially equal (which only occurs for the zero-
differential input condition), the branch currents will remain unchanged, preserving
metastability in MP1-2. However, any difference in the source voltages will cause a
perturbation in the branch currents and trigger regeneration in MP1-2. Note, Saux is
an auxiliary switch [37], which is delayed with respect to Srgnt, to prevent positive
feedback until the charge injection errors from the Srgnrt switches are equalized.

A practical problem in the circuit described above originates from the finite ro's

102
~Srgnn S;,

II

sc
.. -Cr gn rti Crgft
Ssc

VOUT.

VIN-

IZ
ClockI
S., Srgnrt I
Saux I l -
Sdifld L
Vout- Vot-
RSL V

Figure 5-29: Offset compensating latch during reset-resolve phase.

of MN1-2. Proper operation of the voltage-followers, requires that the drains of


MN1-2 be subject to a low impedance. Voltage swings on these nodes will cause
incremental changes in the portion of the branch currents passing through the devices'
r0 's as opposed to their m generators. Consequently, their VGS's will deviate form
the calibrated values. MP3-4, which are initially diode-connected, provide a low
impedance path while the circuit stabilizes. Then, during regeneration, the diode-
connections are broken, enabling strong positive feedback.

Figure 5-30 shows the simulated waveforms observed during the reset-resolve
phase. Once again, 3r offset voltages have been applied to each pair of devices,
in the directions resulting in worst-case overall offset. An input differential signal
of 1.5mV is successfully resolved. Note, while clock is high, the outputs are not

103
exactly equal. This is due to the offsets in MP1-4, which cause different voltages to
be generated across Crgnrtl,2.

0)

,,
o

7.8 8 8.2 8.4 8.6 8.8 9 9.2 9.4 9.6


, , ,I Diffrential Input
I

> 0.414 V
v ~~~~~~~~~~~~~~~~~~~~~I+
u) 0.412 iN_
0
> 0.41
, I I . I I . I I.

5)
Q)
0)
0

7.8 8 8.2 8.4 8.6 8.8 9 9.2 9.4 9.6


time (jus)

Figure 5-30: Latch circuit waveforms during the reset-resolve phase.

Biasing

Two bias voltages are needed within the latch circuit. The first, Vcscd, is simply at
ground. MP5-6 are biased in moderate-inversion, leaving over 500mV for the VDS'S
of the load devices, MP1-4 and MP7-8. Additionally, MN1-4 are all in weak-inversion
and have a VDS,sat of slightly more than 100mV. The branch currents (as well as the
nominal drain voltages of MN1-2 during auto-zeroing) are set by the relative sizing of
MN3-4 and MP7-8. In this implementation, branch currents of approximately 1.51iA
are used. The VGS of MN3-4 is approximately 400mV, so that MP7-8 are in strong-
inversion making the biasing less sensitive to supply variations. During reset-resolve,
the nominal drain voltages of MN1-2 are set by the diode loads, MP3-4.
The second bias voltage, VB is generated using the replica circuit shown in Figure

104
5-31. Here, MP9-10, which replicate the regenerative and diode loads, are active
during the reset-resolve phase, and MP12 is active during the auto-zeroing phase.
In addition, to VB, this circuit generates the bias VCM-IN which is an appropriate
common-mode voltage for the inputs. Consequently, it is used to set the DC bias of
the last, auto-zeroed preamplifier stage.

Sazero

Figure 5-31: Replica biasing circuit for latch.

Both the latch currents, and the biasing circuit currents, are turned off between
conversions to yield linear power savings with respect to reduced sampling rate. Al-
though the branch currents of the replica biasing structure can be scaled back com-
pared to those used in the active latch, there is practical limitation associated with
this approach. Reducing the sizes of devices in the biasing circuit degrades their
matching characteristics. Since the current levels are quite low and the devices are
in weak-inversion, additional offsets can lead to complete inactivation. Consequently,
current levels have not been further reduced in the biasing circuit.

Implementation Analysis

Several implementation issues associated with the latch circuit are worth noting.
First, the only switches that introduce charge injection error are SCd and Sfb. How-
ever, since they are used to bias static nodes, large gate capacitors (Ccscd, Cfb), as

105
well as dummy switches, can be used to minimize this effect. The injection errors
from Srgnrt and Ssc are equalized by the auxiliary switch Saux. Finally, Sdiff Md, which
actually triggers the regeneration, does so by shorting two nodes. Consequently, the
possibility of false regeneration due to residual mismatch in charge injection is natu-
rally avoided. This is a particularly beneficial feature of the latch circuit.
During the reset-resolve phase, the regenerative load is initially stabilized by
breaking the positive feedback. However, as mentioned in [28], the finite "on" re-
sistance of the switches may not allow the positive feedback to be fully broken, re-
sulting in instability. Care, in this regard, is particularly required for low-voltage
implementations.
Finally, the feedback structure used during auto-zeroing can also be subject to
instability if parasitics are not properly managed. After the Scscd switches are open,
each branch of the latch may be thought of as a high-gain cascode amplifier whose
output is fed-back to its input through Sfb. Although the resulting high-gain amplifier
is a single-stage structure, the branch currents are quite low, resulting in low gm's for
MN1-2. Consequently, their sources present a relatively high impedance. Excessive
parasitics on these nodes, or additional capacitances, which may be added to smooth-
out transient spikes, can degrade the phase margin of the amplifier. Figure 5-32 plots
the gain and phase of the amplifier for the case where no filtering capacitance has
been added and the case where 400fF of filtering capacitance has been added. As
shown, the phase margin degrades considerably in the filtered case. Note, Cfb is the
compensation capacitor in this loop. Its value has also been reduced in the filtered
case shown in Figure 5-32.

5.2.3 Latch Level Restorer


The latch circuit described above does not achieve full-swing outputs. Although, it
is possible to reach VDD, output voltages below 250mV can not be generated since
MP3-4 remain on despite regeneration. So, the level-restorer circuit, shown in Figure
5-33, is used to generate full-swing digital outputs.
Here, depending on the latch outputs, one of the PMOS input devices, MP1 or

106
(r in

m
co

103 104 10 5 106 107 108


Phase
C .... -i ,
I 4 I i

r
)o No Filtering
-5C Filtering
co
U)
a)
100 . . ... .. ... .. ... .
0),
a:]
-150 . ........ Degraded p
Margin-
-200 . . . . .....
. . . .. . . . . . ... . . . . . . ... . . . .. . i

10 104 5
106 7
10 10 108
Frequency (Hz)

Figure 5-32: Gain and phase of filtered and unfiltered auto-zeroing calibration circuit.

MP4, will turn on when Clock goes low. The corresponding NMOS, MN2 or MN4,
whose gate was initially discharged, will turn on. The other NMOS will, dynamically,
remain off. This circuit is robust, as it requires VLATCH+and VLATCH- to only swing
slightly more than VTP1,4, in order to generate full-swing digital outputs. The signals
corresponding to the 8 bit and 12 bit paths are ANDed together at this point, to
drive the control signal of the SAR state machine. Waveforms showing the operation
of the level restorer circuits are given in Figure 5-34. As shown, the full rail digital
signals are derived for latch outputs of less than 400mV.

5.3 SAR Circuit Design


The SAR state machine is the digital block that controls the conversion cycle by
generating purging, auto-zeroing, sampling, and bit-cycling signals at the appropriate
times. In this, low-speed, design, the SAR imposes minimal delay with respect to the
target clock frequency. As a result, a standard cell implementation has been leveraged.

107
,/

VLATCH-+

VLATCH-

)UT

Figure 5-33: Latch level restoring circuit.

Clock I
I I I
-1

'0.5
0
> ,U I I I I

1
050.

I,-
--
a)
0.5
> 0
8 8.5 9 9.5 10
I
Level Restorer Outputs
I I I
S 1 -OUT
' I I
a) I- I II-
0)0.5 i I
-

0>0 - i

8
I~~~~~~~~~~
....
I 8.5
I I
~

9
:-, - _ ,I ....

9.5
I

10
time ( s)

Figure 5-34: Latch level restorer waveforms.

The core state logic, in this SAR, is a simple shift register, which makes up the
top row of flip-flops shown in Figure 5-35. The conversion is initiated by an active-
high pulse, CNVRT, which sets the first flip-flop in the shift register and resets the
remaining flip-flops (the reset of lower flip-flops is not shown). In all cases, the flip-
flops are set or reset asynchronously, as the clock is internally gated (as described

108
in Section 5.4) to minimize power consumption between conversions. Following the
CNVRT pulse, however, the clock is enabled, and the SRx signals of the shift register
are successively asserted, enabling their associated logic.

Figure 5-35: SAR state machine circuit.

The initial signals, enforcing the purging, auto-zeroing, sampling, and MSB bit-
cycling phases are synchronous, and are derived in a straight-forward manner from
the associated shift register signal. The remaining bit-cycling signals are self-timed
using the comparator outputs. The corresponding logic, which must be able to recover
from comparator metastability, is described below. Additionally, the logic to enable
resolution scaling is also described below.

Self-Timing Logic

The comparator evaluates while CLOCK is low. Self-timed bit-cycling allows the
subsequent bit phase to begin immediately after a decision is made. Consequently,
the shift register flip-flops, associated with bit-cycling, are triggered on the negative
clock edge.
The comparator provides pseudo-complementary outputs, which are both pre-
charged high, in the level-restorers, before the latch resolves. A comparator decision

109
can then be detected by simply NAND'ing the outputs. This derives CMPRTRDONE,
which is gated with the SRx signals to assert preset on the appropriate bit-cycling
flip-flop. The rising BCYCLx signal is used to clock the previous bit-cycling flip-
flop, which, accordingly, stores at "1" or "" depending on the comparator decision.

Comparator Metastabiliy Detector

However, in the scheme described above, if the comparator fails to make a decision,
the entire bit-cycling process is stalled. To recover from such metastability conditions,
the circuit in Figure 5-36a is used. Here, the CMPRTRDONE signal is registered

at the rising-edge of CLOCK. At this point, a comparator decision should have


been made. If it has not, however, the MTSTBL signal gets asserted and enforces
bit-cycling.
MTSTBLRST
CNVRT MTSTBL RST-
PREB
CMPRTROUT- rMPRTR_DONE -R11 _
o 10 SR9
CMPRTR OUT- O MTSTBL o YCL11 CYCL_ 10 CYCL 9
TSB TSTBL TSTBL
CLOCK

(a) (b)

Figure 5-36: Metastability recovery circuits (a)Metastability detector (b)Metastbility


reset.

Since metastability is detected at the rising clock edge, but bit-cycling logic is
enabled by falling-edge SRx signals, MTSTBL must be reset before erroneously
affecting the next bit. This is done by asynchronously asserting the flip-flop's clear
signal using the logic shown in Figure 5-36b. Here, the BCYCLE-x signal, which
was just asserted by MTSTBL, propagates back to generate the reset.

Resolution and Sampling Rate Scaling

Scaling the ADC resolution from 12 bits to 8 bits requires bypassing the last four
shift register flip-flops. Figure 5-37 shows the logic associated with the last flip-flop
in the shift register, which is used to enable assertion of the SLEEP (once again,

110
reset of the lower flip-flop is not shown). The SLEEP signal indicates the end of
the conversion cycle. It is used internally to power gates all of the active circuitry as
well as to gate the ADC clock. Similar logic is used to bypass one of the shift register
flip-flops associated with auto-zeroing, since only one preamplifier exists in the 8 bit
comparator path.

Figure 5-37: Circuitry supporting resolution scaling. SLEEP signal is used to enforce
power-gating.

5.4 Clock Manager

To minimize the power consumed between ADC conversions, the internal clock signal
is gated as shown in Figure 5-38. The inverters, driving CLOCK and CLOCK have
been sized with cosideration to the internal clock load.

Figure 58CLOCK

SLEEP-

CLOCKEXT > I CLOCK


Figure 5-38: Internal clock gating circuitry.

111
5.5 Charge Pump and Voltage Multiplier
To increase the overdrive of NMOS analog switches, the charge pump circuit shown
in Figure 5-39 is used. An unloaded output voltage of 2 VDD - VTN1 is generated.
With loading, the output level depends on the relative size of Cpump and the load
capacitance. Consequently, Cpump has been sized differently for the auto-zeroing
switch drivers in the comparator and the input switch drivers in the DAC.
I

KBIAS
BYPAS

IN

Figure 5-39: Charge pump circuit to generate voltages beyond VDD

In the implementation shown, the junction implants of the PMOS device can be
forward biased if the voltage of its bulk remains at VDD. To avoid this, a separate
charge pump can be used to derive the bulk voltage, BAKBIAS [38]. In this design,
the Dickson voltage multiplier, shown in Figure 5-40, is used [39]. Since it uses only
NMOS devices, its junctions are not at risk of being forward biased. The steady-state
output voltage of this circuit is given by Equation 5.12.

Cboost 3
BAKBIAS = VDD + VDD Cboost VTN (5.12)
Cboost + Ccntrl

Thus the desired bulk bias is achieved by setting the ratio of Cboost to Ccntr to
approximately 12. Figure 5-41 shows a simulation of this circuit during startup.
As shown, BAKBIAS is continually pumped higher each clock cycle, reaching a
steady value beyond VDD (1V).

112
CbOost
MN-
1 Ccnl
MN2

Cb.oost1 - cti
MN3
31AS

CLOCKT T7
CLOCK

Figure 5-40: NMOS Dickson voltage multiplier [39].

Clock Signals
1

a)
~0.5
0
o
0
0 2 4 6 8 10
BAKBIAS

1.5

a) 1
0)
o 0.5
0
0 2 4 6 8 10
time ( s)

Figure 5-41: Voltage muliplier simulation.

113
114
Chapter 6

Testing and Characterization

The low-power ADC was fabricated in a 0.18pam CMOS process. We acknowledge


Nation Semiconductor for providing the fabrication services. It was packaged in
a 0.5mm pitch TQFP package. A micrograph of the entire test chip is shown in
Figure 6-1. It includes two complete ADCs, as well as a separate layout of the
offset calibrating latch. The first ADC, is the full design described in this document.
The second ADC uses a standard, sense-amplifier based latch [40], instead of the
experimental one. The additional latch layout allows controlled characterization of
offset. Including pads, the test chip occupies an area of 2mm x 2mm. Figure 6-2
shows an annotated micrograph of the full ADC with the offset calibrating latch. It
occupies a total area of 900/im x 700,um.

6.1 Test Setup


Figure 6-3 shows the test setup and equipment used to characterize the ADC. The
Audio Precision System One signal source was used to generate an ultra low-distortion
sine wave [41]. It provides fully-balanced, differential outputs, which can be floated
and set to the desired common-mode voltage. A Tektronix PS280 power supply is
used for this purpose. A Tektronix TLA7NA3 Logic Analyzer module samples the
digital output of the ADC, and a Tektronix TLA7PG2 generates the clock signal and
conversion pulse. An additional PS280 power supply is used to power the ADC I/O

115
Figure 6-1: Micrograph of fabricated test chip.

.4 ,.
900um
Figure 6-2: Micrograph of full ADC with offset calibrating latch.

116
drivers. Finally, a Keithley 6517A electrometer is used to power the ADC core as
well as take accurate current measurements.

(mainframe)

Figure 6-3: ADC Test setup.

A custom printed-circuit board was designed and fabricated to facilitate testing


the ADC. The four layer PCB uses two signal layers and two power/ground layers
to make the board connections. On-board switches allow configuration of the ADC's
resolution, and on-board potentiometers and biasing circuits allow adjustment of bias
currents and voltages. A picture of the test board is shown in Figure 6-4.

The complete ADC, with offset canceling latch, is functional at the target sampling
rate. The biasing resistors require no adjustment beyond their expected, simulated
values. In 12 bit mode, the circuit operates with a 2MHz clock, and, in 8 bit mode,
it operates with a 4MHz clock, allowing a sampling rate of up to 200kS/s. The entire
ADC operates from a V supply, as expected. Note, these parameters will be adjusted
to characterize the maximum and optimum performance points of the ADC beyond
expectations.

117
Figure 6-4: ADC Test PCB photograph.

6.2 Characterization
This section describes the specific tests performed on the ADC. Additionally, results
are presented along with some brief analysis. All of the tests used here are prescribed
by the IEEE Standard 1241, Test Methods for Analog-to-Digital Converters [42].

6.2.1 Static Linearity


A variety of tests exist for extracting the static transfer characteristics of an ADC.
The code density test involves deriving the digital output code histogram associated
with a low-frequency sinusoidal input [43]. Since sine functions are well characterized
mathematically, the ideal code density histogram is well known and can be compared
with that observed. Thus, linearity errors can be quantified.
The code density test was conducted using a full-swing, differential sinusoidal
input with amplitude of 1V. The sampling rate of the ADC was lOOkS/s, and the

118
frequency of the input signal was 111.381Hz. To test the 12 bit mode, approximately
four million samples were taken (30 records each with a size of 131,072). Figure 6-5
shows the resulting code density histogram. Here, no bins are empty, suggesting a
very low likely-hood for missing codes. Further, the offset of the ADC, determined
using the method prescribed in [42],is approximately 830V.

4
x 10 Code Density histogram
,,Ir'
I,/ I

10

' 8
M

-
a) 6
lL
a)

0o0 4

h--
Nv I-., . I. I. I I -

0 1000 2000 3000 4000


Code

Figure 6-5: Code density histogram of ADC in 12 bit mode.

From the code density histogram, the INL and DNL can be determined. Figure
6-6 shows these with respect to the output code. The maximum INL is +0.68LSB/-
0.56LSB, while the maximum DNL is +0.58LSB/-0.66LSB. The INL plot has a saw-
tooth characteristic with a period of 64 (6 bits). This is a manifestation of imperfect
sub-DAC interpolation, and is likely caused by a small error in the prediction of its
top-plate parasitic capacitance (as described in Section 5.1.2). The peak value of the
saw-tooth, however, is only 0.2LSB, confirming that compensation of the sub-DAC
transmission gain was quite effective. Abrupt changes in the INL are also observed
at the 1024 and 3072 code transitions, indicating mismatch in the MSB/2 capacitor
(i.e. C4 in Figure 5-16b). Recall that the largest capacitors were arranged in an
attempt to equalize their edge-coupling to active-capacitance ratio. This ratio for

119
C4 , although much improved compared to the conventional layout, is most poorly
matched. As a result, small corresponding INL changes are observed. Nonetheless,
the static linearity of the ADC is quite good, well within +1LSB.

DNL
0.5
0.5

m
rn
-j
,_) 0

-0.5

-1
0 500 1000 1500 2000 2500 3000 3500 4000
Code

0.5
0.5 I

m
a) 0
-J

-0.5

-1
0 500 1000 1500 2000 2500 3000 3500 4000
Code

Figure 6-6: DNL and INL of ADC in 12 bit mode.

Figure 6-7 shows the histogram corresponding to the ADC in 8 bit mode, and
Figure 6-8 shows the DNL and INL. Here, the sampling rate is 200kS/s, and the
input frequency is, once again, set to 111.381Hz. The maximum INL is +0.19LSB/-
0.16LSB, while the maximum DNL is +0.16LSB/-0.14LSB

6.2.2 Dynamic Noise and Linearity


The dynamic performance of the ADC is also characterized by means of tone test-
ing. The signal-to-noise-plus-distortion ratio (SNDR) is derived by varying the input
frequency from DC to one-half the sampling rate, so that the Nyquist performance

120
6

1
0
c4
0)
I
0_
a)
LI_

_0 2

0 50 100 150 200 250


Code

Figure 6-7: Code density histogram of ADC in 8 bit mode.

1
DNL

0.5
m F
I
C/)
G~o o0
.1 I
-0.5
-1 : . ~ ~
. ~ ~
. ~ ~ . ~~ .I

50 100 150 200 250


INLCode
INL
1 . . l

0.5
m
or) 0 W yW
=J I
-0.5
-1 :
0 50 100 150 200 250
Code

Figure 6-8: DNL and INL of ADC in 8 bit mode.

of the ADC may be evaluated. The resulting output, at each frequency, is used to
derive a least-squares fit to an ideal sinusoid. The RMS error between the output
and the fit quantifies the noise and distortion [42]. The resulting SNDR can be used,

121
as in Equation 6.1, to express the effective number of bits (ENOB) achieved by the
ADC.
ENOB =SNDR(dB)- 1.76 (6.1)
6.02

Figure 6-9 shows the ENOB of this ADC with respect to the input frequency. In 12
bit mode, the ADC samples at 100kS/s and achieves an ENOB of 10.55 bits (65.3dB
SNDR) operating at its Nyquist rate. The SNDR is fairly consistent over the input
frequency range. In the 8 bit mode, the ADC samples at 200kS/s and achieves an
ENOB of 7.96 bits (49.8dB SNDR) at its Nyquist rate.

ENOB VS Input Frequency


12 ~~. .. .

-U-12B Mode
10
4L 8B Mode
10

co
0 6
Z
LU

0
0 20 40 60 80 100
Input Frequency (kHz)

Figure 6-9: ENOB versus input frequency for the ADC in 12 bit mode and 8 bit
mode.

In 8 bit mode, the accuracy of the ADC is nearly ideal. The loss of precision in 12
bit mode can be analyzed with the aide of an FFT. Figure 6-10 shows an FFT of the
ADC output for an input tone of frequency 47.3kHz, which is near half the sampling
rate. The 3 rd, 5 th, and 7 th harmonics are all visible. From the plot, the spurious-free
dynamic range (SFDR) is 71dB.
The combined power of the harmonics in Figure 6-10 is approximately -70.4dB

122
FFT
C

-2C

-4C

m
'o -6C

-8C

-10C

-12C
0 10 20 30 40 50
Frequency (kHz)

Figure 6-10: FFT of ADC output with 47.3kHz input tone.

71 -80 -.
with respect to the fundamental (i.e. -10loglo(lO 1o +10+ 10-8-9)). This suggests
that a modest portion of the observed degradation in SNDR is due to harmonic
distortion. Since no even-order harmonics are prominent, the source of the distortion
must effect the positive and negative signal paths similarly. Thus, mismatch in the
DAC capacitors, which is uncorrelated in the positive and negative arrays, is not a
likely source. Further, good matching of the DAC capacitors was confirmed by the
INL and DNL tests, and it is not dependant on input frequency. The most likely
source is the non-linearity in the resistance of the CMOS input switch. In simulation,
the resulting power of the distortion introduced by the sample-and-hold circuit is
-69dB with respect to the fundamental. This was measured by a applying a 50kHz
input signal to the distributed circuit consisting of six input switches and six binary
weighted capacitors. An ideal sinusoid was fit to the output voltage, and the mean-
squared power of the error was determined.

123
6.3 Power Consumption

At the highest 12 bit performance point, corresponding to 100kS/s, the ADC core (not
including I/O) consumes 25,W from the 1V supply. At the highest 8 bit performance
point, corresponding to 200kS/s, the ADC core consumes 39[LW from the 1V supply.
The power consumption in 8 bit mode is higher than expected and is currently under
investigation. In both 12 bit and 8 bit modes, the linear power scaling with respect
to sampling rate is observed as expected. Figure 6-11 shows the power consumption
versus sampling rate, where it can be seen that the power consumption approaches
zero for very low sampling rates.

Power VS Sampling Rate


AA'
4

3:
I

0
01

Sampling Rate (kS/s)

Figure 6-11: ADC power consumption with respect to sampling rate.

The power consumption in 12 bit mode matches quite well with simulations. Table
6.1 shows the measured and simulated power consumption of the constituent blocks
in this design.

124
Block(s) Simulated Power Measured Power
SAR, Switch Matrices 1 W
8.7p 8.4pW
Charge Pumps 0.39pW 0.38#W
Pre-Amplifiers 4.9pW 5.8pW
Latch 3.9pW 5.2pW
DAC 5.9,uW 5.3pW
Total 23.8pW 25gW

Table 6.1: Simulated and measured power consumption of ADC blocks.

6.4 Comparision Study


The power consumption of an ADC may be normalized by its effective dynamic
range and speed, to derive a figure-of-merit (FOM) for comparing a broad range of
implementations. The FOM commonly used is shown in Equation 6.2.

FOM= 2 NO (6.2)
2fi,,2ENOB

Here, P is the power consumption of the ADC, and fin is in input frequency at which
the ENOB is measured. For the ADC presented, the FOM is equal to 167fJ/conversion
step in the 12 bit mode. Figure 6-12 plots the FOM of previously demonstrated
implementations against their resolution. As shown, this design is the most efficient
known to the author, and, so far as Nyquist rate converters, of at least 12 bits, are
concerned, the FOM is over five times better than previous implementations.
In addition to good efficiency, this ADC achieves low absolute power consumption.
Figure 6-13 plots the power consumption of previously demonstrated SAR ADCs
against the input bandwidth they can digitize, while Figure 6-14 plots their power
consumption against resolution. Architecture comparison in Section 1.2 suggested
that SAR ADCs are able to achieve the lowest absolute power. At the 12 bit level
however, their power consumption increased faster than other architectures (namely
oversampling ADCs). As shown, the increase in power consumption of this 12 bit
design, compared with low-resolution (8 bit), micro-power solutions, is modest.

125
C-
()
en

Cj
,

LL

vC
,n

6 8 10 12 14 16 18
Resolution (Bits)

Figure 6-12: Figure-of-merit of this ADC compared with previous implementations


(data courtesy B. Ginsburg, MIT).

126
Power of SAR ADCs VS Input Frequency

O O
10 - 2
0 0
0 c%~0
CD -4
0
a) 10
0
Q
0-4--
O This Work
O
0 -6

10- 0 ~
O0 This Work
~o

O
102
O 104 106 108
Input Frequency (Hz)

Figure 6-13: Power consumption of SAR ADCs with respect to input frequency (data
courtesy B. Ginsburg, MIT).

Power of SAR ADCs VS Resolution

0
10- 2
0 O 0
0 8
a)
10
Q.
10- 6 This Work
10-

= . . . [

6 8 10 12 14 16
Resolution (Bits)

Figure 6-14: Power consumption of SAR ADCs with respect to resolution (data
courtesy B. Ginsburg, MIT).

127
6.5 Summary
Table 6.2 summarizes the performance and features of the ADC.

8 Bit Mode 1 12 Bit Mode

Process 0.18pm CMOS, National Semiconductor

Area 900pm X 700pm

Voltage Supply 1V

Clock Frequency 4MHz 2MHz

Resolution 8 Bits 12 Bits

Maximum Sampling Rate 200kS/s 100kS/s

Minimum Sampling Rate 0S/s 0S/s

Power Consumption 19pJW @ 100kS/s 25pW @ 100kS/s

SNDR (at Nyquist) 49.7dB (fn=100Hz) 65.3dB (fj,=50Hz)

ENOB (at Nyquist) 7.96 Bits (fin=100Hz) 10.55 Bits (fin=50Hz)

SFDR (at Nyquist) 63.2dB (fin=100Hz) 71dB (fin=50Hz)

Table 6.2: ADC performance summary.

128
Chapter 7

Discussions and Future Work

A Nyquist rate ADC, operating from a 1V supply, was presented. The SAR archi-
tecture, which allows for a mostly passive implementation, was leveraged to achieve
micro-power operation. Additionally, the selected architecture enabled efficient power
management, allowing the power consumption to scale linearly as the sampling rate
varied between 0 and 100kS/s. For further power savings, 8 bit or 12 bit operating
modes could be selected dynamically.
Improvements in the efficiency of the converter were achieved by employing a va-
riety of techniques. The low supply voltage significantly reduced the overall power
consumption of the ADC. Although 1V, 12 bit designs have previously been demon-
strated [44][45], achieving a high SNDR at these voltage levels has shown be ex-
ceedingly difficult. Specifically, the low voltage imposes severe restrictions on analog
implementation. Most notably, in this design, the performance of analog switches was
degraded, amplifier gain enhancement methods (such as cascoding) were restricted,
and the dynamic range of noise-limited stages was reduced. The effect of these draw-
backs was largely offset by a comparator implementation that relied on efficient re-
generative amplification. To make this implementation viable, an offset calibrating
circuit was developed. Further, the power consumption of the charge redistribution
DAC was minimized by employing a fully capacitive structure that draws no static
current. The elements were sized aggressively, but within matching limitations. Lay-
out and transmission gain compensation techniques were required to minimize the

129
ensuing sensitivity to parasitics.
The following sections analyze the benefits of the techniques applied and look
ahead to improvements that can be made to enhance the ADC subsystem further.
Many of the possibilities for future work focus on the ADC performance and features,
however, others also consider optimizations at higher system level.

7.1 Effects of Applied optimizations


Generally speaking, it's difficult to quantify the precise effect of the individual opti-
mizations applied. Nonetheless, a rough analysis of the power improvements achieved
as a result of the specific techniques can be considered.
First, designing the ADC to operate from a 1V supply, instead of 1.8V, which is
the nominal limit for this technology, has a predictable advantage. Specifically, the
power consumption of all blocks reduces by at least a linear factor of 1.8. In the case
of the digital state machine and the charge redistribution DAC, quadratic reduction
is expected. With consideration to Table 6.1, the power consumption with a 1.8V
supply would be approximately 65/1 W. Although an increased supply voltage can ease
thermal noise limitations, only the first comparator preamplifier would benefit. As a
result, this estimate of power savings from reduced supply voltage is reasonable.

Second, without self-timed bit-cycling, the power consumption of the comparator


preamplifiers increases due to stringent settling requirements. As mentioned in Sec-
tion 5.2.1, 350ns has been allocated for preamplifier settling. The remainder of the
clock period is consumed by logic delay, DAC settling, and latch resolution. Without
self-timed bit-cycling, the preamplifiers would be required to settle in approximately
150ns. Accordingly, the power consumption of the cascade would increase by a factor
of 50ns to 14W.
Third, the low offset regenerative latch reduces preamplifier power consumption
by easing the linear gain requirement in the comparator. Without calibration, a latch
offset of approximately 50mV can be expected. Since the simulated offset of the
calibrated latch used here is approximately 1.5mV (as shown in Section 5.2.2), an

130
additional gain of roughly 30 is required. This can be achieved efficiently using a
second, three stage cascade, identical to the existing preamplifiers. The additional
contribution to the preamplifier chain's time-constant is dependant on fixed parasitic
output capacitance, not noise limitations. Accordingly, the resulting total time con-
stant is approximately 85ns, instead of 55ns in the original case. Since the number of
stages has doubled, the preamplifier power consumption increases by a factor of 2 85ns
55ns
in order to restore the previous settling characteristic. In this case, the preamplifiers
consume approximately 18[LW.

The cumulative benefit of all of these techniques can be estimated. In the case
where the offset-canceling latch is replaced with linear gain stages, the increase in
preamplifier power is countered somewhat by reduced latch offset requirements. For
instance, simulations show that, at the desired speed, a non-calibrating, sense ampli-
fier latch consumes a third the power of the offset-calibrating latch. Consequently,
preamplifier power would increase to approximately 18uW, but latch power would de-
crease to 1.7/uW.Without self-timed bit-cycling, the preamplifier power consumption
would increase by an additional factor f 35n to 421 W. Finally, if the power supply
were increased to 1.8V, the entire ADC would consume 124uW. Other techniques,
such as sub-DAC transmission gain adjustment, which enables an aggressively sized
capacitive DAC implementation, also provide power savings in this design. Nonethe-
less, based on the figure-of-merit of previous work, 124/W is inline with the power
consumption expected for an ADC having the precision and speed of this design.

7.2 Future Work

This section examines further optimizations that might improve the power efficiency,
dynamic linearity, and scalability of the ADC. Additionally, the possibility of adding
post-processing capability to ADC to improve system efficiency is considered.

131
7.2.1 Digital Optimization
Although the digital standard cells were easily fast enough for this design, they did
not result in the most power efficient implementation for the SAR state machine.
Specifically, non-minimum sized devices were often used unnecessarily. Additionally,
during the conversion process transitions in the digital blocks occur fairly infrequently,
and in a highly deterministic manner. Consequently, the logic can be optimized to
ensure minimum activity.

7.2.2 Programmable On-Chip Post-Filtering


As mentioned in Section 1.1, the ADC may over-sample the input data to ease anti-
aliasing filter requirements. This requires that the digital output be appropriately
filtered and decimated before further processing. If the ADC is not integrated with
the subsequent DSP, it is beneficial to implement the decimation filter on the ADC
chip. This will minimize the bandwidth on the heavily loaded off-chip drivers. Of
course, to maintain scalability in the effective sampling rate, the digital filter might
also be programmable

7.2.3 Resolution Scalable DAC


Section 4.2.2 discussed the challenges associated with achieving efficient scalability
in the DAC. In this design, no special techniques were employed to overcome the
parasitic loading imposed by MSB capacitors as the resolution is reduced. A fu-
ture design might investigate de-coupling the inactive MSB capacitors using reliable,
charge-pump boosted switches.

7.2.4 Active Input Switch


Section 6.2.2 considered the distortion contributed by the sample-and-hold input
switch. Based on simulation, it was determined that the linearity of the ADC would
have benefited from some active biasing to reduce the input dependant variation in

132
its resistance. A variety of constant-VGs switch biasing techniques exist and may be
employed in future versions of this design.

133
134
Appendix A

ADC Fundamentals

This chapter examines fundamentals of the analog-to-digital conversion process. Since


modern ADC architectures and implementations make use of a plethora of new tech-
nologies and circuit techniques, it is worth examining the impact these have on the
signal processing characteristics of the converter. This chapter serves to place the
goals of the design efforts pursued, during the development of this ADC, into a sys-
tem context.
Section A.1 develops an ideal model of the ADC as a signal processing unit.
Section A.2 analyzes the effect of practical non-idealities on that model. Finally,
Section A.3 examines the physical constraints associated with ADC implementation.

A.1 Linear Signal Processing


ADCs perform a highly non-linear function. Converting a continuous-time (CT),
analog signal into a discrete-time (DT), digital representation involves quantization
and sampling. Nonetheless, it is valuable to model an ADC as a linear block, at least
partially, so that well-understood, linear signal processing theory may be applied to
data-conversion systems. The followingsections develop that model. A characteristic
voltage associated with ADCs, know as the LSB voltage, will frequently be referred
to. This specifies the smallest voltage differences resolvable. Specifically, it is defined
as `-
as where
2N Vref is the supported full-scale input voltage, and N is the number of

135
bits output by the ADC.

A.1.1 Ideal ADC Model


Figure A-1 shows an ideal model of an ADC. Here, V represents the quantized signal,
and Vt is the final digital output. The operation of quantization is modeled by the
addition of an error signal, Vqn,to the input signal, Vin. In this sense, all ideal ADCs
introduce error. Specifically, this error is called quantization error or quantization
noise.

Vqn.

I~~~~~~~~~~~M.
s/ eu1
L
ec
to discrete
I sequence l I

t n

Figure A-1: Ideal model of an ADC.

Quantization noise is explored further in the next subsection. However, notice,


due to abrupt changes at the quantization boundaries, V has a very wide bandwidth.
In particular, V is the sum of Vi, and Vqn. While Vi/ may be bandlimited, Vqn is not.
As a result, when the Vqn portion of Vx is subsequently sampled, aliased components
will fold into the baseband, defining a noise floor.

A.1.2 Quantization Noise


In general, the quantization noise signal, Vqn, is dependant on the input signal. Fur-
ther, this dependence is highly non-linear. However, if the input signal varies rapidly,
with a peak value larger than an LSB voltage, some approximations may be made
regarding the quantization noise appearing at the ADC output. In particular, Vqn

136
can be treated as a random variable with an equal probability density between the
values - LSB and LSB In this case, the RMS value of the quantization error, Vqn is
equal to LSB [46].

As mentioned in Chapter 6, sinusoids are typically used to characterize ADCs. In


such cases, the maximum signal-to-noise ratio (SNR) of the ADC is given by Equation
A.1, where the amplitude of the sinusoid is Vref
2 N

SNR = 2010ogl0SB = 20lo (2 2 (A.1)

From this relation, the SNR (in dB) is approximately equal to 6.02N + 1.76, where
N is the resolution of the ADC.

A.2 Non-Ideal ADC Model

Practical ADCs introduce error in addition to quantization noise. The main categories
of errors observed in an ADC are offset, gain-error, linearity error, and random noise.
Offset and gain error are typically easy to correct, but they may effect the SNR
slightly by degrading the full-scale input range. Linearity errors depend on the input,
and as a result are not easy to correct. In the case of sinusoidal inputs, linearity errors
manifest themselves as harmonic spurs in the frequency domain. The power of the
spurs corresponds to the distortion introduced by the ADC, and it may added to the
power of the other noise sources to quantify the signal-to-noise-plus-distortion ratio
(SNDR), which expresses the total error. Random noise, typically originating in the
constituent physical devices of analog circuits, does not manifest itself as spurious
noise. Instead, it, along with quantization noise, contributes to the noise floor of the
converter. The following subsections consider the effect of practical error sources on
the ADC model.

137
A.2.1 Effective Resolution

By applying a simple redefinition, the ideal ADC model developed earlier can account
for practical errors. In particular, all errors present in the converter, in addition to
inherent quantization, can be referenced back to the quantization noise signal. If the
actual SNDR is known, the following relation, derived from Equation A.1, can be
used to determine the effective number of bits (ENOB) of the ADC.

ENOB = SNDR(dB)- 1.76 (A.2)


6.02

As a result, non-idealities in a real ADC, degrade the effective resolution of the


conversion beyond the finite resolution determined by the number of output bits.

A.2.2 Random Noise in SAR ADCs

Determining the SNDR of an ADC involves deriving the mean-squared error between
its input signal (in digital representation) and its output signal. Thus, SNDR doesn't
explicitly say anything about how the measured error appears, in terms of deviation
of the actual ADC output code form the ideal digital output code.

The effect of random noise on the output code of a SAR ADC is analyzed in
[23]. Here, it is shown that, although random noise at the comparator input may be
presumed to be Gaussian, the distribution of resulting output codes is not Gaussian.
The probability of any code depends on the joint probability of each bit in that code.
For example if the five LSBs of the ideal output code are 01000 (8), an output code of
10000 (16) may be more likely than 01111 (15), since it relies on an erroneous decision
on only the 5 th bit rather than all of the 4 th to 1 st bits. Although, it is true that an
error on the 5 th bit is less likely than one on the lower bits, the overall probability
may be higher. As a result, the likelihood of a particular code does not necessarily
decrease monotonically from that of the ideal code.

138
A.3 Performance Normalization

Three critical parameters associated with ADCs are sampling rate, resolution, and
power consumption. This section examines the relationship between these, which
is rooted in inherent circuit tradeoffs. Section A.3.1 examines the power cost of
increasing the sampling rate of an ADC. Section A.3.2 examines the power cost of
increasing resolution. Finally, Section A.3.3 uses the previous relations to rationalize
a widely used figure-of-merit (FOM) for evaluating ADCs.

A.3.1 Sampling Rate

Generally speaking, a linear power-speed relationship exists in both digital and analog
circuits. In the case of digital circuits, this can be seen in Equation A.3, where is
the switching activity factor, CL is the load capacitance, VDD is the supply voltage,
folk is the clock frequency, and d is the duty cycle (i.e. ratio of time the circuit
is actively processing) [24]. Often, d is combined with and may be considered a
specific parameter associated with switching activity.

Pdig = CLvJDDfclkd (A.3)

Additional forms of power consumption, namely direct-path power and leakage power,
are also present. However, these typically represent a smaller portion of the total
power consumption. In the case of analog circuits, we can consider, as an example,
a simple single stage amplifier. Here, the required gain, A, and bandwidth, f-3dB,

are given by the following expressions, where GM is the amplifier's transconductance,


and Rt is the amplifier's output resistance.

A GMROut (A.4)

f-3dB = 221C (A.5)


7rRO.tCL(A5

139
For a simple differential pair implementation, the amplifier's GM coincides with the
transconductance of the input devices, 9m, and can be expressed in terms of bias
current, Ibias , electron charge, q, subthreshold slope, n, Boltzmann's constant, k,
and absolute temperature, T (note, this relationship is true in the weak-inversion
regime [18] where efficiency is highest).

Ibiasq _Panaq
gm kT - rTV (A.6)
nT nkTVDD

Combining these results, the power consumption can be expressed as in Equation

Pana
- A7
A.7, where its proportional dependence on signal frequency is shown.

2-FnkTVDDCLAf-3dB (A.7)
q

Although the results above were derived with consideration to specific examples,
they, in fact, appear quite generally. The key point to infer, is that increasing the
sampling rate of an ADC, which requires the constituent analog and digital circuits
to process faster, inflicts a proportional increase in power.

A.3.2 Resolution

Resolution quantifies the loss in precision, due to noise, whether that noise be from
quantization error or other non-idealities. Dynamic range is defined as the ratio of
maximum signal power to the minimum signal power when the SNR has degraded
to unity. Note that signal power, at unity SNR, is equal to the accumulated power
of all noise sources. The ability of an ADC to resolve a higher number of bits is
ultimately limited by intrinsic device noise in the analog circuits. To characterize
the power-resolution relationship in analog circuits, the effect of thermal noise, on
limiting dynamic range, can, once again, be analyzed for a simple one stage amplifier.
V2
Equation A.8 relates dynamic range, DR, to the power of a full-swing sine wave, vAp,
2'

and the noise power, vno.


D Vmp (A.8)
2vo

140
The value of v2 is given by 7-yT, where 7 is the equivalent number of noise sources
in the amplifier and CL is the load capacitance. Then, by applying Equation A.4,
Equation A.6, and Equation A.5, we get the following expression.

VD2mpPanaq
DR = 2 (A.9)
47rn7(kT) AVDDf 3 dB (A.9)

This results shows that power consumption in analog circuits is directly proportional
to dynamic range. Extrapolating to ADCs, where dynamic range is exponentially
related to resolution (namely 2 N, where N is the number of bits), power consumption
can be expected to vary exponentially with the number of bits to be resolved.

A.3.3 Figure of Merit


Based on the power-sampling rate and power-resolution relationships developed above,
the power consumption of an ADC can be normalized. The figure-of-merit (FOM),
shown in Equation A.10, was suggested to allow comparison of different ADC archi-
tectures and implementations.

FOM- P(.I0 2fIN2ENOB (A.10)

Here, P is the power consumption of the converter, fIN is the input signal frequency,
and ENOB is the effective resolution at that frequency. Note, fIN has been multi-
plied by two to relate the input signal frequency to the Nyquist rate. A comprehensive
survey of ADCs, using a similar metric, confirms that the linear speed, and exponen-
tial resolution, normalizations are appropriate for comparing a broad range of designs
[47].

141
142
Bibliography

[1] I. F. Akyildiz, Y. S. W. Su, and E. Cayirci, "Wireless sensor networks: A survey,"


Computer Networks, vol. 38, no. 4, pp. 393-422, March 2002.

[2] R. Min, M. Bhardwaj, S.-H. Cho, E. Shih, A. Sinha, A. Wang, and A. Chn-
drakasan, "Low-power wireless sensor networks," white-paper on sensor network
architectures.

[3] T. Pering, T. Burd, and R. Brodersen, "The simulation and evalutation of dy-
namic voltage scaling algorithms," in Proc. Int. Symp. Low Power Electronics
and Design, Aug. 1998, pp. 76-81.

[4] Condenser Microphone Cartridges - Types 4133 to 4181, Bruel and Kjaer,
Naerum, Denmark, product datasheet.

[5] B. Olson, April 2005, e-Mail Correspondence.

[6] K. Gulati and H.-S. Lee, "A low-power reconfigurable analog-to-digital con-
verter," IEEE Journal of Solid-State Circuits, vol. 36, no. 12, pp. 1900-1911,
Dec. 2001.

[7] I. Ahmed and D. Johns, "A 5MS/s (35mw) to lkS/s (15uzw) power scaleable
pipeline 10b ADC with minimal bias current variation," in IEEE Int. Solid-State
Circuits Conf. Dig. Tech. Papers, San Francisco, CA, Feb. 2005, pp. 280-281.

[8] V. Peluso, P. Vancorenland, A. Marques, M. Steyaert, and W. Sansen, "A 900-


mV low-power AE A/D converter with 77dB dynamic range," IEEE Journal of
Solid-State Circuits, vol. 33, no. 12, pp. 1887-1897, Dec. 1998.

143
[9] L. Yao, M. Steyaert, and W. Sansen, "A V 88dB 20kHz EA modulator in
90nm CMOS," in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, San
Francisco, CA, Feb. 2004, pp. 80-81.

[10] J. Sauerbrey, D. Schmitt-Landsiedel, and R. Thewes, "A 0.5-V 1-[LWsuccessive


approximation ADC," IEEE Journal of Solid-State Circuits, vol. 38, no. 7, pp.
1261-1265, July 2003.

[11] M. Scott, B. Boser, and K. Pister, "An ultralow-energy ADC for smart dust,"
IEEE Journal of Solid-State Circuits, vol. 38, no. 7, pp. 1123-1129, July 2003.

[12] B. J. Sheu and C. Hu, "Switch-induced error voltage on a switch capacitor,"


IEEE Journal of Solid-State Circuits, vol. SC-19, no. 4, pp. 519-525, Aug. 1984.

[13] S. Aghtar, J. W. Haslett, and F. N. Trofimenkoff, "Subthreshold analysis of an


MOS analog switch," IEEE Transactions on Electron Devices, vol. 44, no. 1, pp.
89-96, Jan. 1997.

[14] M. J. M. Pelgrom, A. C. J. Duinmaijer, and A. P. G. Welbers, "Matching prop-


erties of MOS transistors," IEEE Journal of Solid-State Circuits, vol. 24, no. 5,
pp. 1433-1439, Oct. 1989.

[15] Digital Offset Calibration Loop, Exar Corporation, March 2000, application Note.

[16] ADuC812 ADC Software Calibration,Analog Devices, Jan. 2000, technical Note.

[17] R. Sarpeshkar, "Low power analog VLSI," MIT, Cambridge, MA, Sept. 2004,
course notes.

[18] Y. Tsividis, Operation and Modeling of the MOS transistor, 2nd ed. McGraw
Hill, 1987.

[19] T. L. Tewksbury, H.-S. Lee, and G. A. Miller, "The effects of oxide traps on
the large-signal transient response of analog MOS circuits," IEEE Journal of
Solid-State Circuits, vol. 24, no. 2, pp. 542-544, April 1989.

144
[20] J. L. McCreary and P. R. Gray, "All-MOS charge redistribution analog-to-digital
conversion techniues - part I," IEEE Journal of Solid-State Circuits, vol. SC-10,
no. 6, pp. 371-379, Dec. 1975.

[21] R. K. Hester, K.-S. Tan, M. D. Wit, J. W. Fattaruso, S. Kiriaki, and J. R.


Hellums, "Fully differential ADC with rail-to-rail common-mode range and non-
linear capacitor compensation," IEEE Journal of Solid-State Circuits, vol. 25,
no. 1, pp. 173-183, Feb. 1990.

[22] B. Razavi, Data Conversion System Design. IEEE Press, 1995.

[23] P. W. Yee, "Noise considerations in high-accuracy A/D converters," IEEE Jour-


nal of Solid-State Circuits, vol. SC-21, no. 6, pp. 1011-1015, Dec. 1986.

[24] J. Rabaey, A. P. Chandrakasan, and B. Nikolic, Digital Integrated Circuits,


2nd ed. Prentice Hall, 2003.

[25] G. Promitzer, "12-bit low-power fully differential noncalibrating successive ap-


proximation ADC with lms/s," IEEE Journal of Solid-State Circuits, vol. 36,
no. 7, pp. 1138-1143, July 2001.

[26] J.-T. Wu and B. A. Wooley, "A 100MHz pipelined CMOS comparator," IEEE
Journal of Solid-State Circuits, vol. 23, no. 6, pp. 1379-1385, Dec. 1988.

[27] H.-S. Lee, "Design of analog MOS LSI," MIT, Cambridge, MA, Sept. 2003,
course notes.

[28] B. Razavi and B. A. Wooley, "Design techniques for high-speed, high-resolution


comparators," IEEE Journal of Solid-State Circuits, vol. 27, no. 12, pp. 1916-
1926, Dec. 1992.

[29] C. C. Enz and G. C. Temes, "Ciruit techniques for reducing the effects of op-amp
imperfections: Autozeroing, correlated double sampling, and chopper stabiliza-
tion," Proc. of the IEEE, vol. 84, no. 11, pp. 1584-1614, Nov. 1996.

145
[30] C. C. Enz, E. A. Vittoz, and F. Krummenacher, "A CMOS chopper amplifier,"
IEEE Journal of Solid-State Circuits, vol. SC-22, no. 3, pp. 335-342, June 1987.

[31] Y. P. Tsividis, P. R. Gray, D. A. Hodges, and J. Chacko, "A segmented Au-2 5 5


law PCM voice encoder utilizing NMOS technology," IEEE Journal of Solid-
State Circuits, vol. SC-11, no. 6, pp. 740-747, Dec. 1976.

[32] B. Fotouhi and D. A. Hodges, "High-resolution A/D conversion in MOS/LSI,"


IEEE Journal of Solid-State Circuits, vol. SC-14, no. 6, pp. 920-926, Dec. 1979.

[33] K. B. Ohri and M. J. Callahan, "Integrated PCM codec," IEEE Journal of


Solid-State Circuits, vol. SC-14, no. 1, pp. 38-46, Feb. 1979.

[34] H.-S. Lee, D. A. Hodges, and P. R. Gray, "A self-calibrating 15 bit CMOS A/D
converter," IEEE Journal of Solid-State Circuits, vol. SC-19, no. 6, pp. 813-819,
Dec. 1984.

[35] A. Hastings, The Art of Analog Layout. Prentice Hall, 2001.

[36] E. A. Vittoz, Design of Analog-Digital VLSI Circuits for Telecommunications.


Prentice Hall, 1994, ch. Micropower Techniques.

[37] P. J. Lim and B. A. Wooley, "An 8-bit 200-MHz BiCMOS comparator," IEEE
Journal of Solid-State Circuits, vol. 25, no. 1, pp. 192-199, Feb. 1990.

[38] T. B. Cho and P. R. Gray, "A 10-bit, 20-MS/s, 35-mW pipeline A/D converter,"
in Proc. IEEE Custom Integrated Circuits Conference, San Diego, CA, May 1994,
pp. 499-502.

[39] J. F. Dickson, "On-chip high voltage generation in NMOS integrated circuits


using an improved voltage multiplier technique," IEEE Journal of Solid-State
Circuits, vol. SC-11, no. 3, pp. 374-378, June 1976.

[40] M. Matsui, H. Hara, Y. Uetani, L.-S. Kim, T. Nagamatsu, Y. Watanabe,


A. Chiba, K. Matsuda, and T. Sakurai, "A 200 MHz 13mm2 2-D DCT macro-

146
cell using sense-amplifier pipeline flip-flop scheme," IEEE Journal of Solid-State
Circuits, vol. 29, no. 12, pp. 1482-1490, Dec. 1994.

[41] Audio Precision System One Operator's Manual, Audio Precision.

[42] "IEEE standard for terminology and test methods for analog-to-digital convert-
ers," IEEE Std 1241-2000.

[43] J. Doernberg, H.-S. Lee, and D. A. Hodges, "Full-speed testing of A/D convert-
ers," IEEE Journal of Solid-State Circuits, vol. SC-19, no. 6, pp. 820-827, Dec.
1984.

[44] J. Li, G.-C. Ahn, D.-Y. Chang, and U.-K. Moon, "A 0.9-V 12-mW 5-MSPS algo-
rithmic ADC with 77-dB SFDR," IEEE Journal of Solid-State Circuits, vol. 40,
no. 4, pp. 960-969, April 2005.

[45] D.-Y. Chang, G.-C. Ahn, and U.-K. Moon, "A 0.9V 9mW MSPS digitally
calibrated ADC with 75dB SFDR," in Proc. IEEE Symp. VLSI Circuits, June
2003, pp. 67-70.

[46] D. Johns and K. Martin, Analog Integrated Circuit Design. John Wiley and
Sons, Inc., 1997.

[47] R. H. Walden, "Analog-to-digital converter survey and analysis," IEEE Journal


on Selected Areas in Communications, vol. 17, no. 4, pp. 539-550, April 1999.

147

Você também pode gostar