Você está na página 1de 2

More Next Blog»

VLSI Concepts
Translate page

Select Languag

An online information center for all who have Interest in Semiconductor Industry.

VLSI Basic STA & SI Extraction & DFM Low Power Physical Design Vlsi Interview Questions Job Posting Video Lectures V

Recommended Book

Search This Blog

Search Featured Post

Metal Layer S
Index
In the last part w
Chapter1 Chapter2 Chapter3 Chapter4 Chapter5 Chapter6 Chapter7 Chapter8
STA & SI The way foundry
Introduction Static Timing Analysis Clock Advance STA Signal Integrity EDA Tools Timing Models Other Topics available options

Chapter1 Chapter2 Chapter3 Chapter4 Chapter5 Chapter6


Extraction &
DFM Introductio Parasitic Interconnect Corner (RC Manufacturing Effects and Their Dielectric Process Other
n Corner) Modeling Layer Variation Topic

Monday, February 28, 2011

Basic of Timing Analysis in Physical Design

Vls

Be the first of
Lots of people asked me to share my experience over timing analysis. Even though, a lot of material is already present but still it looks to me that
things are not in a systematic way. I try my best to put things in a simple and understandable language or say way and wish it helps everyone
(beginner and professional).
Please let me know in case I have missed any topic or concept. It’s difficult to put everything in a single post, so be ready for series of articles :)
on Timing analysis.

What is Timing Analysis??

Before we start anything, it's important to know "what exactly we mean by Timing Analysis". Why it's so important these days?

There are a couple of reasons for performing timing analysis.


Timing Constraints
We want to verify whether our circuit meet all its timing requirements
VLSI EXPE
There are 3 types of design constraints
google.com
timing, Bridging G
power, Acdamia a

area.
During designing there is a trade-offs between speed, area, power, and runtime according to the constraints set by the
designer. However, a chip must meet the timing constraints to operate at the intended clock rate, so timing is the most 319 fo

important design constraint.


Operating Evniroment:
Total Pageviews
We want to make sure that circuit is properly designed and can work properly for all combinations of components over the
entire specified operating environment. "Every Time".
5,549,85
Component Selection: Timing analysis can also help with component selection.
An example: You are trying to determine the speed of the memory device which can be use with a microprocessor.
Using a memory device that is too slow, may not work in the circuit (or would degrade the performance by
introducing wait states) and
Using one that is too fast will likely cost more than it needs to.
Subscribe To VL

So, I say Timing analysis is the methodical analysis of a digital circuit to determine if the timing constraints imposed by components or interfaces Posts
are met. Typically, this means that you are trying to meet all set-up, hold, and pulse-width times requirement.
Comments

Note: Timing analysis is integral part of ASIC/VLSI design flow. Anything else can be compromised but not timing!
Popular Posts
Types of Timing Analysis:
"Timing Paths
Timing Analys
There are 2 type of Timing Analysis
basic (Part 1)
Static Timing Analysis
Basic of Timin
Checks static delay requirements of the circuit without any input or output vectors. Analysis in Ph
Design
Dynamic Timing Analysis.
"Setup and H
verifies functionality of the design by applying input vectors and checking for correct output vectors : Static Timing
(STA) basic (

Basic Of Timing Analysis: "Examples Of


and Hold time
The basis of all timing analysis is the "Clock" and "Sequential component" (Flip-flop, Latches). Following are few of the things related to clock and Timing Analys
basic (Part 3c
flip-flop which we usually want to take care during Timing analysis.
"Setup and H
Clock related: Violation" : St
Timing Analys
It must be well understood parametrically and glitch-free. basic (Part 3b
Timing analysis must ensure that any clocks that are generated by the logic are clean, are of bounded period and duty cycle, and of a
known phase relationship to other clock signals of interest. Delay - "Wire
Model" : Stati
The clock must, for both high and low phases, meet the minimum pulse width requirements. Analysis (STA
Certain circuits, such as PLLs, may have other requirements such as maximum jitter. As the clock speeds increase, jitter becomes an (Part 4c)
increasingly important parameter.
Delay - "Inter
When "passing" data from one clock edge to the other, ensure that the worst-case duty cycle is used for the calculation. Remember: A Delay Models
frequent source of error is the analyst assuming that every clock will have a 50% duty cycle. Timing Analys
basic (Part 4b
Flip-Flop related:
"Time Borrow
Make sure that all parameters of flip-flops always met. The only exception is when synchronizers are used to synchronize
Static Timing
asynchronous signals (STA) basic (
For asynchronous presets and clears, there are two basic parameters (Recovery and Removal) must be met.
10 Ways to fix
All setup and hold times are met for the earliest/latest arrival times for the clock. and HOLD vio
Setup times are generally calculated by designers and suitable margins can be demonstrated under test. Hold times, however, are Static Timing
frequently not calculated by designers. (STA) Basic (

When passing data from one clock domain to another, ensure that there is either known phase relationships which will guarantee 5 Steps to Cr
meeting setup and hold times or that the circuits are properly synchronized Interview

Now, let's talk about Each type of Timing analysis One by one in the series of articles.
Recent Visitors

Live Traffic F
Next A visitor from
Vietnam view
of Timing An
Physical Des.
You might also like:
A visitor from
ago
States arrived
google.co.in a
"Antenna Eff
A visitor from
Concepts" 1m
Vijayawada, A
Pradesh view
"Timing Paths" : Hierarchical Design Setup and Hold Variation - Ef
Static Timing Flow - part 2 Check: Advance Design, Diffe
Analysis (STA) STA (Static Timing andvisitor
A Modellin from
basic (Part 1) Analysis )
Concepts"
Lumpur view3m
Linkwithin Effects |VLSI
mins
A visitor ago from
Posted by VLSI EXPERT at 2:14 PM
Canyon, Cali
arrived from v
Reactions: Excellent (7) Good (2) Interesting (0) Need More (0) expert.com an
"Fixing Setup
Violation : St
A visitor (STA
Analysis from
16 comments: Secunderabad
Part 6a) |VLS
Pradesh
6 mins ago view
Interconnect C
Vee Eee Technologies December 8, 2011 at 5:56 PM
Corner) - Part
Excellent pieces. Keep posting such kind of information on your blog. I really impressed by your blog. Concepts"
A visitor from 7m
Reply Sacramento, C
arrived from g
and viewed "L
sanjay March 12, 2012 at 3:06 PM Design Rules
Rule
A visitor Check from (D
really good site to clear our doubts
Concepts"
arrived from 8m g
Reply and viewed ""
Timing path D
Static Timing
Mohammed Hafiz September 11, 2012 at 12:18 AM (STA) basic (
A visitor from
GOOD SIR ,GREAT JOB... |VLSI Concep
arrived from v
Reply
ago
expert.com an
"Hierarchical
A
Flowvisitor- partfrom2
aagupta88 September 19, 2012 at 6:10 PM
Inchon-jikhal
Concepts" 10
from google.c
Amazing..... viewed "Dish
Reply Erosion (CMP
A visitor from
Real-time view · Get Fee

Khadar October 15, 2012 at 3:02 PM


Really great job!!! very helpful
Followers
Reply

Você também pode gostar