Você está na página 1de 17

Prácticas de Laboratorio de Circuitos Lógicos .

Práctica No. 6.
Temporizadores, Flip-flops y registros.
Timers, Fip-flops and registers.

Si tu no trabajas port us sueños, alguien te contratará para que trabajes por


los suyos.
Steve Jobs.
Unidad Temática: IV

Duración: 3.0 hrs (Revisión 1er. hora Relojes y 2da. hora FF y registros).

Unidad de Competencia Específica:


Desarrolla etapas de control digital y automatización con base a los circuitos lógicos
secuenciales en los FPGA o CPLD y el HDL (VHDL y/o Verilog).

Competencia Específica:
Implementa los circuitos de temporización, los elementos biestables (Flip-flops) y los
registros en los CPLD o FPGA mediante HDL.

En ésta práctica usted aprenderá a implementar distintos circuitos de reloj, y utilizando HDL
los cuatro flip-flops, registros y aplicaciones en la tarjeta de desarrollo, como se lista a
continuación:

• Circuitos de temporización con (a) Transistores, (b) LM555, (c) CI 74HC14, (d)
oscilador con cristal de cuarzo.
• Diseño y construcción de un circuito de reloj fijo y variable.
• Flip Flops: SR, JK, D, T.
• Registro de 8 bits con reset y enable.
• Registro de 12 bits para mostrar la palabra HOLA, utilizando un selector de dos bits
con código en Gray.
• Control de dos motores con un solo push ON – OFF – ON.
• Control de un motor con un solo push ON – OFF – ON, alimentando la tarjeta sin
transformador.
• Reto 1: construcción de un oscilador con tres salidas.
• Reto 2: rutina de control para un motor a pasos.

In this practice you will learn to implement different clock circuits, and using HDL the four
flip-flops, registers and applications on the development board, as listed below:

• Timing circuits with (a) Transistors, (b) LM555, (c) CI 74HC14, (d) oscillator with
quartz crystal.
• Design and construction of a fixed and variable clock circuit.
• Flip Flops: SR, JK, D, T.

1
Prácticas de Laboratorio de Circuitos Lógicos .

• 8-bit register with reset and enable.


• 12-bit register to display the word HELLO, using a two-bit selector with code in
Gray.
• Single motor control with single push ON - OFF – ON.
• Control of a motor with a single push ON - OFF - ON, feeding the card without
transformer.
• Challenge 1: Build an oscillator with three outputs.
• Challenge 2: Steeper motor control routine.

Pre-reporte seis
(Previous work six).

Desarrollar los siguientes puntos como trabajo previo para el buen progreso de la práctica
[máximo 8 cuartillas si se entrega impreso (Letra Times New Roman de 12ptos, interlineado
sencillo)]:

1. Investigar y reportar (por lo menos uno de cada uno) los esquemáticos y las ecuaciones de
los circuitos osciladores y temporizadores a base de: a) resistencia-capacitor y compuertas
con histéresis, b) cristal de cuarzo y compuertas con histéresis, c) 555 (astable y
monoestable), d) transistores. Calcular los componentes de los circuitos para generar señales
de reloj fijas de 1Hz, 10Hz y 1kHz, y variables de 0 a 20 Hz, de 0 a 200Hz y de 0 a 2kHz,
apegándose también a los datos de las hojas de especificaciones del fabricante. ¿Qué es la
histéresis y para que se utiliza en los circuitos temporizadores digitales? Buscar cinco
circuitos comerciales que tengan histéresis.

2. Escribir los códigos en HDL (VHDL y Verilog) de los 4 tipos de flip-flops (SR, JK, D y
T), considerando que se tiene una entrada de reloj, preset y clear, análogo a la serie 74XX.

Figura 6.1. Entidades de los FF.

3. Escribir el código en HDL (VHDL y Verilog) de un registro de 10 bits considerando como


pines de control el reloj, reset y enable. Entradas a interruptores y salidas a leds.

4. Diseñar un circuito que controle el encendido y apagado de una carga de corriente alterna
(motor, foco, licuadora, lavadora, etc.) con un solo botón, cada vez que se presiona y se suelta
un botón sin enclavamiento (push Normally Open), basado en un flip-flop junto con su etapa
de potencia con tiristores, opto-isolators, relevadores.

NOTA: En caso de no entregar el pre-reporte y/o de no traer el material (con los circuitos
armados), los alumnos NO TENDRÁN derecho a entrar al laboratorio. Los circuitos deben
de armarse de acuerdo con la indicación del archivo de restricciones. Coloque las preguntas
con su numeración en los pre-reportes y reportes.

2
Prácticas de Laboratorio de Circuitos Lógicos .

"Coloca la parte UPIITA-IPN a tu trabajo, comparte la información adicional que


buscaste".

Material y Equipo.
Components and equipment.

Dispositivos discretos e integrados (i.e. PLD, 74HC14, CI 555, 1 Cristal de cuarzo a 32.768
kHz, resistencias, potenciómetros, capacitores, leds, interruptores, push, etapa de potencia
con tiristores, opto-isolators, relevadores, todos con hojas de datos y especificaciones).
Display 7-seg, motor a pasos, sensores.
Tarjeta de desarrollo: Cool Runner II, Basys 2 ó 3, Nexys 2 ó 3 ó 4, DE2, etc.
Pinzas de punta y de corte.
Protoboard necesarios para tener armados los circuitos.
Cables para conexión.
Cables con conectores (header macho, header hembra, caimán, etc.).
Termofit.
Programador si son dispositivos discretos como SPLD, CPLD.
Fuente de alimentación regulada si se conecta de forma externa algún circuito.
Punta lógica o analizador de estados lógicos.
Multímetro con puntas.
Osciloscopio con puntas.
Programas (ISE de Xilinx, Vivado, Quartus II de Altera, etc.).
Computadora con software instalado y puertos para conectar la tarjeta o programador.

Nota: las cantidades de componentes depende de los diseños que se realicen.

Introducción.
Introduction.

Definición de conceptos:

Oscilador. Sistema que produce oscilaciones eléctricas o mecánicas, que en circuitos


eléctricos poseen las características de periodo o frecuencia, amplitud y forma de onda. Como
ejemplo están los osciladores senoidales, triangulares, dientes de sierra, etc.

Temporizador. Sistema de control de tiempo que se utiliza para activar o desactivar algún
circuito o componente. Como ejemplo está el que se encarga de disparar una cámara
fotográfica o a activar una carga detonante.

Multivibrador. Es un circuito que tiene realimentación (positiva y/o negativa) y dos


dispositivos activos, de modo que uno de ellos conduzca mientras el otro no lo hace. Existen
tres tipos: astable, biestable y monoestable.

M. Monoestable. Son circuitos que poseen una sola salida estable (0 ó 1) y permace ahí hasta
que una señal de control o excitación cambia la salida (1 ó 0), la vuelve inestable permanece

3
Prácticas de Laboratorio de Circuitos Lógicos .

en ese estado durante un tiempo. Después de transcurrir ese tiempo, regresa a su estado
estable (0 ó 1).

M. Astable. Son circuitos que poseen dos estados inestables, que sin poseer entradas externas,
la salida siempre está cambiando de valor. Estos circuitos se utilizan frecuentemente como
señales de reloj, pues tienen las características de tener periodos y voltajes cuasifijos bajo
parámetros o componentes del propio circuito. Son el corazón de los circuitos secuenciales,
microcontroladores y microprocesadores por proporcionarles la tan utilizada señal de reloj.

M. Biestable. También son sistemas que poseen dos estados, pero a diferencia de los astables
estos son estables y dependen de las condiciones de entrada para realizar los cambios en su
salida. Los circuitos más representativos de este tipo son los Flip-flops.

Los circuitos electrónicos generadores de señal de reloj utilizan básicamente un arreglo de


resistencia y condensador y circuitos o componentes discretos como:
• circuito integrado lineal como el LM555, NE555, LM556, etc.
• circuito integrado lineal como los comparadores (OpAmps)
• circuito digital con histéresis como el 74HC14, 74HCT14, etc.
• transistores, todos con sus respectivos arreglos de componentes R-C o R-C-Xtal.

En la figura 6.2 se muestra un circuito temporizador con las salidas Q y Q’. Una ventaja de
este circuito es que puede oscilar hasta casi Vcc y las resistencias R1 y R4 se pueden sustituir
por diferentes cargas.

Figura 6.2. Circuito oscilador o temporizador hecho con transistores bipolares.

Se recomienda en todos los circuitos osciladores y temporizadores, utilizar condensadores


pequeños, lo cual llevará a una mayor seguridad y mejor control.

Otra alternativa utilizada desde los años 70 por su sencillez, eficacia y versatilidad es el CI
555 (ver figura 6.3), que permite construir un temporizador mediante unos pocos
componentes de bajo costo. Éste circuito permite armar dos configuraciones básicas el
monoestable y el astable.

Figura 6.3. CI 555.

4
Prácticas de Laboratorio de Circuitos Lógicos .

Los circuitos de reloj son generadores de onda rectangulares y tienen las siguientes
características:
a) Frecuencia (f0) en Hz (ciclos por segundo).
b) Estabilidad de frecuencia igual al porcentaje de desvío de f0 debido a los cambios en
la temperatura y edad del dispositivo.
c) Ciclo de trabajo (Duty cycle) = tHIGH / (tHIGH + tLOW) x 100%

Dichos circuitos se pueden formar a partir de arreglos sencillos, como un inversor, resistencia
y capacitor, hasta arreglos muy complicados y costosos, como los utilizados con cristales
(ver figura 6.4). Estos últimos los que permiten estar trabajando a frecuencias grandes,
además de que poseen una gran precisión.

Figura 6.4. Cristales: comercial (izquierda), naturaleza (centro) y estructura (derecha).

Debido a que existe una gran variedad de características y parámetros, las expresiones dadas
para la frecuencia de salida fO son solamente aproximadas, típicamente dentro de un 15%.
Esto es verdad en todos los casos, excepto en los circuitos controlados por cristal, que son
mucho más precisos.

Los circuitos biestables o Flip-flop’s (FF) son la base para realizar registros, latches,
contadores y memorias, y también son utilizados para realizar circuitos de control y máquinas
de estado. Su esencia está en los componentes realimentados.

Hay cuatro formas básicas de los FF, el tipo D que se utiliza para el manejo de datos, el SR
conocido como Set-Reset, que contiene una combinación con salida indeterminada, una
mejora de éste la proporciona el tipo JK en donde todas sus combinaciones tienen salida
estable, y el tipo T o toggle que permite realizar aplicaciones de encendido y apagado con un
solo botón. También es posible obtener los diferentes FF a partir de arreglos entre ellos, por
ejemplo, a partir de un FF SR y un inversor se obtiene un tipo D, al introducir la misma señal
en ambas entradas del JK se obtiene un FF tipo T.

Una aplicación importante de los FF es como elemento de almacenamiento de información,


también conocida como memoria o registros. Estos tienen diferentes terminales para su
control y funcionamiento como lo son el reloj, el preset, el reset, el clear, el load, etc.

Un registro simple es aquel en que la transferencia de información se realiza de forma


paralela, conocido como entrada paralela, salida paralela, o con las siglas PIPO, y de forma

5
Prácticas de Laboratorio de Circuitos Lógicos .

comercial sería el 74HC174). Más adelante se verán otros tipos de registros para el manejo
de información.

A continuación, se presentan los códigos para implementar un FF en VHDL y en Verilog, así


como la simulación hecha en el ISim de Xilinx en la figura 6.5.

VHDL
--código
library ieee;
use ieee.std_logic_1164.all;

entity FFD is port


(clk, Din: in std_logic; --reloj y dato de entrada
Dout:inout std_logic); -- salida del dato
end FFD;

architecture FFD of FFD is;


begin
process (clk, Din)
begin
--la salida del FF es síncrona con respecto al flanco de subida del reloj clk
if clk’event and clk=’1’ then Dout <= Din;
else Dout <= Dout;
end if;
end process;
end FFD;

Verilog
//código de un Flip-flop tipo D
module FFD (clk, Din, Dout);
input wire clk, Din;
output reg Dout;
//la salida del FF es síncrona con respecto al flanco de subida del reloj clk
always@(posedge clk)
begin
Dout <= Din;
end //fin del always
endmodule

6
Prácticas de Laboratorio de Circuitos Lógicos .

Figura 6.5. Simulación de un FF tipo D síncrono en el ISim de Xilinx donde se observa que
el dato de salida cambia con el flanco de subida de la señal del reloj

“Si tienes alguna duda, apóyate con tu profesor”

Desarrollo.
Procedure.

Recuerde MOSTRAR sus circuitos funcionando a su profesor para la valoración del trabajo
de laboratorio (TL6).

1. Reportar la simulación de las salidas, en un diagrama de V vs t, para un oscilador con


transistores (como el de la figura 6.1), el 555 en astable y también un inversor con
histéresis, todos a la misma frecuencia. Mencionar el simulador eléctrico electrónico
utilizado y los parámetros teóricos esperados (frecuencia, periodo, voltaje de salida,
etc.).
Report the simulation of the outputs, in a diagram of V vs t, for an oscillator with
transistors (like the one in figure 6.1), the 555 in astable and also an inverter with
hysteresis, all at the same frequency. Mention the electronic electric simulator used and
the expected theoretical parameters (frequency, period, output voltage, etc.).

2. Implementar los osciladores variables utilizando: (a) transistores (figura 6.2), (b) LM555
(ver figura 6.6), (c) elegir uno de los dos inversores con histéresis y arreglo RC
mostrados en la figura 6.7, y (d) inversor con histéresis y cristal mostrado en la figura
6.8. Los tres primeros incisos tendrán frecuencia variable. Todos los circuitos se revisan
al mismo tiempo. Reportar las señales de salida, los circuitos con cálculos reflejados en
una tabla así como fotografías editadas con texto que muestren los datos medibles
(frecuencia, periodo, voltaje de salida, etc.).
Implement the variable oscillators using: (a) transistors (figure 6.2), (b) LM555 (see
figure 6.6), (c) choose one of the two inverters with hysteresis and RC arrangement
shown in figure 6.7, and (d) inverter with hysteresis and crystal shown in figure 6.8. The
first three paragraphs will have variable frequency. All circuits are checked at the same
time. Report the output signals, the circuits with calculations reflected in a table as well
as photographs edited with text that show the measurable data (frequency, period, output
voltage, etc.).

7
Prácticas de Laboratorio de Circuitos Lógicos .

Figura 6.6. Circuito temporizador (oscilador) con el 555 en configuración astable con leds
para prender con los estados altos (led verde) y estados bajos (led rojo).Ecuación de
1.44
funcionamiento 𝑓 = (𝑅1+2𝑅2)𝐶 .

Figura 6.7. Circuitos temporizadores (osciladores) con el 74HC14, (a) variable, (b) fijo.
Este circuito se le conoce con el nombre de oscilador Schmitt (Schmitt oscillator) que
tiene como ventajas: a) simple, b) estable a la temperatura (razonablemente) con R y C
bien elegidas, y c) auto inicio; y como desventajas: a) frecuencia limitada y b) sin
1 1
control del ciclo de trabajo. Ecuación de funcionamiento 𝑓 = 0.8𝑅𝐶 𝑜 𝑓 = 0.67𝑅𝐶 .

Figura 6.8. Circuitos temporizadores (osciladores) con cristal. 𝑓𝑠𝑎𝑙𝑖𝑑𝑎 = 𝑓𝑋−𝑇𝐴𝐿 .

Nota: Para el oscilador con cristal de la figura 6.8, los valores de los capacitores son de 22pF,
el cristal es de 32.768 kHz, Rb es la resistencia que polariza el inversor en la región de alta
ganancia. Rf es la resistencia de realimentación y junto con C1 funcionan como un filtro pasa
bajas que inhibe la vibración formada por el resonador a altas frecuencias.
Note: For the crystal oscillator of Figure 6.8, the capacitor values are 22 pF, the crystal is
32,768 kHz, Rb is the resistance that polarizes the inverter in the high gain region. Rf is the

8
Prácticas de Laboratorio de Circuitos Lógicos .

feedback resistance and together with C1 they function as a low pass filter that inhibits the
vibration formed by the resonator at high frequencies.

Además, realizar las mediciones necesarias del oscilador Schmitt y deducir una expresión
para el periodo y una expresión para la frecuencia, considerando que T0  constante de tiempo
(τ) y F0  constante de tiempo (τ)-1.
In addition, perform the necessary measurements of the Schmitt oscillator and derive an
expression for the period and an expression for the frequency, considering that T0time
constant (τ) and F0  time constant (τ)-1.

3. Diseñar un circuito de reloj para introducirlo a la tarjeta de desarrollo que tenga: (a) tres
pines de salida (+V, GND y Vo) y un selector para mandar el oscilador con cristal (fijo)
o el oscilador con RC variable entre 1Hz y 1kHz; (b) cuatro pines de salida (+V, GND,
Vofijo, Vovariable), con las mismas características del inciso anterior solo que existe
una salida independiente para cada oscilador. Es posible utilizar componentes de
montaje superficial, pero en cualquier diseño se debe de mostrar la salida con un led
indicador de la señal. Reportar el diseño esquemático, los cálculos y el PCB.

4. Construir el reloj de señal LVTTL del punto anterior, para conectarse a la tarjeta de
desarrollo o al protoboard en los conectores de expansión (orden: VCC, GND, Vo o f, si
tiene 3 terminales como en la figura 6.9; y VCC, GND, ffija, fvariable, si tiene 4 terminales.
Las dimensiones máximas de la tableta sin el peine de inserción serán de 3 cm x 3 cm.
Reportar sus características, listado de componentes y fotografía. Nota: La construcción
de este circuito es para utilizarse en las prácticas subsecuentes.
Build the LVTTL signal clock from the previous point, to connect to the development
card or to the breadboard in the expansion connectors (order: VCC, GND, Vo of, if it
has 3 terminals as in figure 6.9, and VCC, GND, ffija, fvariable, if you have 4
terminals.The maximum dimensions of the tablet without the insertion comb will be 3 cm
x 3 cm.Report your characteristics, list of components and photograph.Note: The
construction of this circuit is to be used in the subsequent practices.

Figura 6.9. Ejemplo de la distribución de los componentes para el circuito oscilador.

9
Prácticas de Laboratorio de Circuitos Lógicos .

Challenge 1 (substitute the points 1 to 4):


Build a peripheral module (PCB or rapid prototyping) that has 3 LVTTL clock outputs, two
fixed (1Hz and the other with crystal) and a variable from Hz to kHz.

5. Implementar, en el lenguaje que indique el profesor durante el laboratorio, los flip-flops


SR, JK, D y T, con una entrada de reloj, preset y clear. Poner un reloj de
aproximadamente 1Hz para mostrar el funcionamiento. Todos los FF se revisan al mismo
tiempo. Reportar la simulación y fotografías editadas con texto que muestren los datos
comparables con la simulación.
Implement, in the language indicated by the teacher during the laboratory, the SR, JK,
D and T flip-flops, with a clock, preset and clear input. Put a clock of approximately 1Hz
to show the operation. All FF are reviewed at the same time. Report the simulation and
photographs edited with text that show the data comparable with the simulation.

6. Implementar, en el lenguaje que indique el profesor durante el laboratorio, un registro


de 10 bits con pines de control: reloj, reset y enable, con entradas conectadas a
interruptores y las salidas a leds. Poner un reloj de alrededor de 1Hz para mostrar el
funcionamiento. Reportar la simulación y fotografías editadas con texto que muestren
los datos comparables con la simulación.
Implement, in the language indicated by the teacher during the laboratory, a 10-bit
register with control pins: clock, reset and enable, with inputs connected to switches and
outputs to LEDs. Put a clock around 1Hz to show the operation. Report the simulation
and photographs edited with text that show the data comparable with the simulation.

7. Diseñar e implementar un registro que guarde datos de 12 bits en cuatro espacios internos,
y cuya selección de cada espacio sea a través de un selector de 2 bits. Los datos guardados
son constantes y servirán para visualiza en los cuatro displays de la tarjeta las letras “H”,
“O”, “L” y “A” barriendo el selector en código Gray. Reportar la simulación y fotografías
editadas con texto que muestren los datos comparables con la simulación.
Design and implement a register that stores 12-bit data in four internal spaces, and
whose selection of each space is through a 2-bit selector. The saved data is constant and
will be used to display the letters "H", "O", "L" and "A" on the four card displays by
sweeping the selector in Gray code. Report the simulation and photographs edited with
text that show the data comparable with the simulation.

Challenge 2 (substitute the points 5 to 7):


Implement with a steeper motor with one arm and two sensors a routine that oscillates from
left to right and from right to left (similar to a windscreen wiper). At the ends are the two
sensors that make it come back. Each time the left sensor is activated sends a message to the
7-seg display (i.e. "LEFt") and when the arm touch the right sensor sends another message
(i.e. "righ" or "right" if it is marquee).

10
Prácticas de Laboratorio de Circuitos Lógicos .

8. Diseñar e implementar uno de los siguientes incisos, basados en flip-flops junto con su
etapa de potencia con tiristores. Reportar la simulación y fotografías editadas con texto
que muestren los datos comparables con la simulación.
Design and implement one of the following paragraphs, based on flip-flops along with
its power stage with thyristors. Report the simulation and photographs edited with text
that show the data comparable with the simulation.
a) Un circuito que controle el encendido y apagado de dos cargas de corriente alterna
(motor, foco, licuadora, lavadora, etc.), cada vez que se presiona y se suelta un botón
mecánico sin enclavamiento (push Normally Open), uno para cada carga.
A circuit that controls the switching on and off of two loads of alternating current
(motor, focus, blender, washing machine, etc.), each time a non-interlocked
mechanical button is pressed and released (push Normally Open), one for each load.
b) Un circuito que controle el encendido y apagado de una carga de corriente alterna
(motor, foco, licuadora, lavadora, etc.), cada vez que se presiona un botón sin
enclavamiento (push Normally Open), siendo el reto el de alimentar el circuito o tarjeta
de desarrollo a partir de los 120VCA SIN UTILIZAR TRANSFORMADOR
REDUCTOR ni baterías.
A circuit that controls the switching on and off of an alternating current load (motor,
focus, blender, washing machine, etc.), each time a non-interlocking button is pressed
(Normally Open push), the challenge being to feed the circuit or card of development
from 120VAC WITHOUT USING REDUCING TRANSFORMER or batteries.

9. Realizar sus comentarios y conclusiones. Todos los códigos van en una sola columna con
comentarios.
Write your comments and conclutions. All the codes are presenten in only one column
with comments by line or blocks.

NOTA: Respetar la numeración de cada punto de este formato en el reporte escrito [máximo
15 cuartillas si se entrega impreso (Letra Times New Roman de 12ptos, interlineado
sencillo)].

Proyectos opcionales utilizando PLDs y HDL.


Optional projects using PLDs and HDL.

Temporizador de propósito general.


Se desea diseñar un circuito temporizador de propósito general que permita activar hasta 10
salidas de forma secuencial o paralela. Los tiempos entre una y otra salida son programables
desde 1 hasta 90 segundos. La alimentación puede ser con voltajes de 12 ó 24 VCD o 120
VCA. Su diseño debe de ser lo más eficiente y barato posible para hacerlo atractivo al
mercado.

Divisor de frecuencia o multiplicador de periodo.


Se desea diseñar un circuito que permita dividir la frecuencia (o multiplicar el periodo) en
múltiplos de 2, es decir, para una frecuencia fO se desea tener salidas de fO/2, fO/4, fO/8 y
fO/16. El sistema debe de tener la posibilidad de cambiar su única salida por las diferentes

11
Prácticas de Laboratorio de Circuitos Lógicos .

opciones de frecuencia, por medio de botones arriba-abajo (up-down). Su alimentación se


hará por medio de baterías que den 6 V, para tener su salida en el orden de 0 V a 5 V @ 100
mA con forma de onda cuadrada o rectangular. Su diseño debe de ser lo más eficiente y
barato posible para hacerlo atractivo al mercado.

Multiplicador de frecuencia o divisor de periodo.


Se desea diseñar un circuito que permita multiplicar la frecuencia (o dividir el periodo) en
múltiplos de 2, es decir, para una frecuencia fO se desea tener salidas de 2fO, 4fO, 8fO y 16fO.
El sistema debe de tener la posibilidad de cambiar su única salida por las diferentes opciones
de frecuencia, por medio de botones arriba-abajo (up-down). Su alimentación se hará por
medio de baterías que den 6 V, para tener su salida en el orden de 0 V a 5 V @ 100 mA con
forma de onda cuadrada o rectangular. Su diseño debe de ser lo más eficiente y barato posible
para hacerlo atractivo al mercado.

Visualizador de motivos patrios o navideños para el zócalo de la ciudad.


Se desea diseñar una malla portadora de focos que al iluminarse se muestren figuras o
imágenes de los héroes de la independencia (Morelos, Hidalgo, etc.) o bien motivos
navideños (flor de nochebuena, muñecos de nieve, árboles de navidad, etc.) que se colocarán
en los meses de septiembre y diciembre, respectivamente, para iluminarse cuando se oculta
el sol. Los focos a utilizarse son de 120 V, 50 W y se irán encendiendo en un orden
determinado hasta formar toda la figura. El tiempo de encendido es encendiendo cada 3
segundos una parte, hasta encender todo el motivo durante 5 segundos, apagarlo y volver a
empezar. El número de motivos máximo a manejar es de 15 y la salida de potencia máxima
es de 5000W.

Visualizador para el metrobus.


Se desea diseñar un circuito que controle un visualizador de leds o láminas de 10 caracteres
para que informe a las personas cuál es su destino. Por ejemplo, si se dirige de Insurgentes a
indios verdes que ponga la palabra INSURGENTES (10 caracteres) por medio de un botón,
y que esta se quede fija hasta que llegue a su base. Una vez ahí, por medo del mismo botón
se seleccione el destino Indios Verdes, colocando primero la palabra INDIOS durante 2
segundos y pase a la palabra VERDES durante otros dos segundos y se repita el ciclo otra
vez. Esto debido a que las dos palabras exceden los diez caracteres que caben en el
visualizador. El sistema debe de contener un banco de datos que contenga los límites de
arribo y debe de operar con 12 V. Su diseño debe de ser lo más eficiente y barato posible
para hacerlo atractivo al mercado.

Generador de pulsos rectangulares para circuitos digitales.


Se desea diseñar un circuito generador de pulsos cuadrados o rectangulares que permita
cambiar su frecuencia de operación en el rango de 0.1 Hz a 10 kHz y su ciclo de trabajo (Duty
cycle) de 10% hasta el 90%. Su salida debe de estar en el rango de 0 V a 5 V y debe de tener
carátula digital donde se indique con 3 ½ dígitos el valor de la frecuencia. Debe de tener
botones para subir y bajar la frecuencia y el ciclo de trabajo. La alimentación se hará por
medio de pilas o eliminador de baterías. Su diseño debe de ser lo más eficiente y barato
posible para hacerlo atractivo y competitivo al mercado.

12
Prácticas de Laboratorio de Circuitos Lógicos .

Temporizador para cuchilla.


Se desea diseñar un temporizador para retardar la acción de corte de una cuchilla para
permitir que un operador pueda acomodar los bloques de hojas y sacar la mano antes de que
baje la cuchilla.

Circuito de retardo para caja fuerte.


Se desea diseñar un circuito de retardo para la apertura de una caja fuerte, una vez que se le
da la combinación, esta abrirá 30 segundos después, siempre y cuando no se haya jalado la
palanca para abrir.

Diseñar y construir un generador de PWM con temporizadores.


Design and build a PWM generator with timers.

Diseñar y construir un generador de pulsos aleatorios.


Design and build a random pulse generator.

Diseñar y construir un generador de señal cuasi-senoidal.


Design and build a quasi-sinusoidal signal generator.

Diseñar y construir un circuito retardador de (a) 1ms, (b) 1 segundo.


Design and build a retarder circuit of (a) 1ms, (b) 1 second.

Diseñar y construir un disparador para un trigatrón (aparato para pruebas de laboratorio de


alta potencia, donde se generan arcos de 30 kVA)
Design and build a trigger for a trigatron (apparatus for high-power laboratory tests, where
arcs of 30 kVA are generated)

Diseñar y construir un generador de base de tiempo a 60 Hz que permita corrimiento para


acoplamiento con la línea de alimentación, para un equipo inversor de potencia.
Design and build a 60 Hz time base generator that allows shifting for coupling with the power
line, for a power inverter equipment.

Diseñar y construir un generador de onda senoidal a partir de una señal cuadrada modulada.
Design and build a sine wave generator from a modulated square signal.

Diseñar un interfaz para una impresora paralela y una impresora serial.


Design an interface for a parallel printer and a serial printer.

En la figura 6.10 se presentan unos arreglos de osciladores con cristal, para corroborar el
cálculo de los componentes.

13
Prácticas de Laboratorio de Circuitos Lógicos .

Figura 6.10. Arreglos de osciladores con cristal.

Analizar, simular e implementar los osciladores hechos con amplificadores operacionales de


la figura 6.11.

Figura 6.11. Multivibradores con realimentación con OPAMP.

"Imprime la parte UPIITA a tu trabajo, busca información en la red y compártela


con tus compañeros".
¡Si tienes alguna duda apóyate en tu profesor!

14
Prácticas de Laboratorio de Circuitos Lógicos .

“Intercambia opiniones y experiencias con tus compañeros de grupo, acerca del


conocimiento que has adquirido después de haber finalizado la práctica.”

Referencias.
References.

Flip flop tipo D en VHDL, consultada en febrero-2018,


http://en.wikibooks.org/wiki/VHDL_for_FPGA_Design/D_Flip_Flop

Videos flip-flop y registros, curso de FPGA & Verilog teórico-práctco Hackeando-Tec


consultado en febrero-2018, disponible en:
https://www.youtube.com/watch?v=GswRcWkx9pc&index=13&list=PLIyIZGa1sAZqeQJ
6Y02b7O8HV0gK25zc2
https://www.youtube.com/watch?v=dOKN0nr0F6k&list=PLIyIZGa1sAZqeQJ6Y02b7O8H
V0gK25zc2&index=14
https://www.youtube.com/watch?v=VqF4agNKUd0&index=15&list=PLIyIZGa1sAZqeQJ
6Y02b7O8HV0gK25zc2
https://www.youtube.com/watch?v=kZ_cxw7ZXHU&list=PLIyIZGa1sAZqeQJ6Y02b7O8
HV0gK25zc2&index=16

15
Prácticas de Laboratorio de Circuitos Lógicos .

A continuación, se presenta una tabla para el registro de los puntos entregados en el


laboratorio de la práctica 6, (TL= Trabajo de Laboratorio, R=Reporte, P=Práctica).
Next, a table is presented to record the points delivered in the laboratory of practice 6, (LW
= Laboratory Work, R = Report, P = Practice).

Tabla de registro para el trabajo de laboratorio (TL6).


Laboratory work register (LW6)

Nombre de la práctica
Lab CiLo Temporizadores, Flip-flops y
Grupo Punto 2 Punto 5 Punto 6 Punto 7 Punto 8 registros
FF Reg8b Reg12b On-off Comments LW6
Nombre mesa Empresa Oscilad HDL HDL HOLA c/btn Comentarios TL6 R6 P6
1
1
1
2
2
2
3
3
3
4
4
4
5
5
5
Fechas 3hr. 5pts 8pts
Challenge 1 1 to 4
Challenge 2
Challenge 1: PmodOSC 3 outputs
Challenge 2: windscreen wiper, steeper motor routine, 2 sensors
(a)TBJ, (b)555, (c)74HC14, (d)cristal
(a) BJT, (b) 555, (c) 74HC14, (d) crystal
FF SR, JK, D, T
FF SR, JK, D, T
Registro de 8 bits con reset y enable
8-bit register with reset and enable
Reg 12b HOLA” selector 2b en Gray
Reg 12b HELLO "selector 2b in Gray
(a) Ctrl. 2 Mot. con push ON – push Off
(b) Ctrl. 1 Mot con push ON – push Off sin
transformador
(a) Ctrl. 2 Mot. with push ON - push Off
(b) Ctrl. 1 Mot with push ON - push Off without
transformer
3 D. cto. Reloj
4 C. cto. Reloj

16
Prácticas de Laboratorio de Circuitos Lógicos .

Página
Dejada
En
Blanco

Page
Left
In
Blank

17

Você também pode gostar