Você está na página 1de 11

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 18, NO.

2, FEBRUARY 2010 173

The Impact of NBTI Effect on Combinational Circuit:


Modeling, Simulation, and Analysis
Wenping Wang, Shengqi Yang, Sarvesh Bhardwaj, Sarma Vrudhula, Member, IEEE,
Frank Liu, Senior Member, IEEE, and Yu Cao, Member, IEEE

Abstract—Negative-bias-temperature instability (NBTI) has be-


come the primary limiting factor of circuit life time. In this paper,
we develop a hierarchical framework for analyzing the impact of
NBTI on the performance of logic circuits under various opera-
tion conditions, such as the supply voltage, temperature, and node
switching activity. Given a circuit topology and input switching ac-
tivity, we propose an efficient method to predict the degradation of
circuit speed over a long period of time. The effectiveness of our
method is comprehensively demonstrated with the International
Symposium on Circuits and Systems (ISCAS) benchmarks and a
65-nm industrial design. Furthermore, we extract the following key
design insights for reliable circuit design under NBTI effect, in-
cluding: 1) During dynamic operation, NBTI-induced degradation
is relatively insensitive to supply voltage, but strongly dependent on
temperature; 2) There is an optimum supply voltage that leads to
the minimum of circuit performance degradation; circuit degra-
dation rate actually goes up if supply voltage is lower than the op-
timum value; 3) Circuit performance degradation due to NBTI is
highly sensitive to input vectors. The difference in delay degrada-
tion is up to 5 for various static and dynamic operations. Finally, Fig. 1. V degradation for dynamic NBTI (silicon data from [10]).
we examine the interaction between NBTI effect, and process and
design uncertainty in realistic conditions.
Index Terms—Duty cycle, input pattern, negative bias temper-
ature instability (NBTI), performance degradation, speed, supply speed or in extreme cases to a functional failure [6], [7]. Exper-
voltage, temperature. imental data further indicates that NBTI worsens exponentially
with thinner gate oxide and higher operating temperature
[7]–[11]. In fact, as gate oxide scales thinner than 4 nm, NBTI
I. INTRODUCTION has gradually become the dominant factor to limit circuit life
HE rapid scaling of CMOS technology has resulted in
T new reliability concerns, such as negative bias temper-
ature instability (NBTI), non-conductive stress (NCS), etc.
time [12], [13]. Even though tremendous efforts have been
spent to improve the fabrication process, the impact of NBTI
on circuit performance becomes so severe that technology
[1]–[5]. NBTI primarily affects pMOS devices and may result improvement alone is not sufficient, especially after the intro-
in up to 50 mV shifts in the threshold voltage through the duction of high-k gate dielectrics since 45 nm technology node.
life time, translating to more than 20% degradation in circuit For nanoscale CMOS circuits, it is essential to develop design
methods to understand, simulate, and minimize the degradation
Manuscript received August 02, 2007; revised May 13, 2008. First published of circuit performance in the presence of NBTI, in order to
May 29, 2009; current version published January 20, 2010. This work was sup- ensure reliable circuit operation over a desired period of time.
ported by the Gigascale Systems Research Focus Center, one of five research
centers funded under the Focus Center Research Program, a Semiconductor Re-
The analysis of NBTI is inherently more complicated than
search Corporation Program, in part by the SRC, in part by Task 1354, and in that of other traditional reliability issues, such as the hot-carrier
part by the National Science Foundation (NSF) under Grant EEC-9523338. injection (HCI). NBTI exhibits an unique property of both stress
W. Wang was with the Department of Electrical Engineering, Arizona State
University, Tempe, AZ 85287 USA. She is new with Vitesse Semiconductor, and recovery behavior during circuit dynamic operation (Fig. 1).
Austin, TX 78704 USA (e-mail: wwang39@agu.edu). Depending on the duty cycle and input patterns, over 75% of
Y. Cao is with the Department of Electrical Engineering, Arizona State Uni- previous NBTI-induced degradation can be annealed by biasing
versity, Tempe, AZ 85287 USA (e-mail: yu.cao@asu.edu).
S. Yang is with the Department of Communication and Informa- the pMOS gate at supply voltage [14], [15]. Therefore,
tion Engineering, Shanghai University, Shanghai 200072 China (e-mail: the consideration of the recovery phase and its dependence
shengqi.yang@shu.edu.cn). on node switching activity are critical to correct analysis and
S. Vrudhula is with the Department of Computer Science and Engineering,
Arizona State University, Tempe, AZ 85287 USA (e-mail: vrudhula@asu.edu). design margining for the NBTI-induced degradation. This point
S. Bhardwaj is with Synopsys, Inc., Mountain View, CA 94043 USA (e-mail: is underscored by Fig. 2, which demonstrates that change
sarvesh@synopsys.com). under dynamic conditions is dramatically different from that in
F. Liu is with the IBM Austin Research Laboratory, Austin, TX 78758 USA
(e-mail: frankliu@us.ibm.com). the static mode. Because of the rapid annealing at the beginning
Digital Object Identifier 10.1109/TVLSI.2008.2008810 stage of the recovery (Fig. 1), even a small recovery period
1063-8210/$26.00 © 2009 IEEE
174 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 18, NO. 2, FEBRUARY 2010

Fig. 3. NBTI timing analysis framework.

level and gate-level modelings of NBTI, and the algo-


rithm of circuit aging analysis. It serves as a generic plat-
form to incorporate NBTI into conventional static timing
Fig. 2. Static and dynamic NBTI degradation for different input signal analysis flow and predict the degradation of circuit per-
probabilities. formance under various operating conditions.
2) A comprehensive analysis of NBTI effect in combina-
tional circuits: NBTI strongly affects the speed of a cir-
(i.e., signal probability close to 1) greatly reduces the overall cuit. The most sensitive factors are concluded as ,
degradation by more than 50% of the static stress. The property , and node switching activity. By benchmarking 65
is confirmed by silicon data [16], [17] and well predicted by our nm International Symposium on Circuits and Systems
model, as presented in Section II. Therefore, an accurate predic- (ISCAS) circuits with industrial voltage and temperature
tion of performance degradation should include not only and profiles, we investigate the impact of and during
, but also the switching activity of the node. These parameters the dynamic operation. Lower is favorable to reduce
are not spatially or temporally uniform, but vary significantly the degradation, while changing the operating has
from gate to gate and from time to time due to the uncertainty in a marginal impact on circuit speed because the effect
circuit topologies and operations. These nonuniformities need to of NBTI is relatively insensitive to at the nominal
be incorporated into the degradation analysis for both short-term point. At 65 nm node, there exists an optimum under
and long-term predictions. Otherwise, a simple static analysis which circuit degradation has the minimum rate. We fur-
may provide an extremely pessimistic estimation, and conse- ther observe that various input patterns or duty cycle sets
quently, result in drastic overmargining (Fig. 2). So far, design cause 2–5 difference in the circuit delay degradation
and tools research is at the early stage to address the emerging rate.
needs of reliability [1], [18]–[20]. The impact of static NBTI on The paper is organized as follows. The timing analysis frame-
the performance of combinational circuits was analyzed in [20]. work is described in Section II. In Section III, the impact of
It demonstrates that by resizing the paths that are most sensitive NBTI effect on circuit performance is analyzed in details. Fi-
to NBTI effect, it is able to mitigate the increase of path delay nally, the conclusion is given in Section IV. Overall, this anal-
of the whole circuit was analyzed in [20]. An average of 8.7% ysis paper provides a solid basis for further design exploration
increase in circuit size is required for 70 nm technology. An to improve circuit reliability under the NBTI effect.
algorithm for determining the amount of delay degradation of a
circuit due to NBTI is provided in [19]. The simulation results
II. MODELING AND SIMULATION METHODOLOGY
under 70 nm technology show that there is around 8% delay
degradation in combinational logic circuits after ten years stress. Fig. 3 illustrates the data flow and the structure of the
Still an accurate and comprehensive understanding of NBTI proposed framework. The temporal degradation of circuit
is not available to guide reliable design under NBTI and help performance depends on both technology and design condi-
exploit available design techniques to minimize its impact. tions. We begin with the accurate modeling of degradation
In this paper, we first develop a general framework to inte- at the transistor level. Under NBTI effect, predictive transistor
grate NBTI effect into circuit analysis. This framework is further models of NBTI are used to characterize timing behavior of
applied to benchmark the degradation of combinational circuit various basic circuit building gates, such as NAND and NOR
performance under various design conditions, with particular gates. An NBTI-aware library is built upon these predictive
emphasis on inputs and node switching activity. Based on the models. Given a circuit netlist, the new library further supports
analysis of both static and dynamic operations, we identify sev- an timing analysis algorithm that is simple and efficient to
eral key design techniques that most effectively mitigate NBTI calculate circuit performance degradation. By including tran-
effect and prolong circuit life time. The specific contributions sistor-level modeling of other reliability mechanisms, such as
of this study include the following. HCI and NCS, this framework is extendable to analyze other
1) A hierarchical framework for circuit performance anal- aging effects. Each block in Fig. 3 will be described in details
ysis under NBTI: this framework embodies transistor- in Sections II-A–C.
WANG et al.: THE IMPACT OF NBTI EFFECT ON COMBINATIONAL CIRCUIT: MODELING, SIMULATION, AND ANALYSIS 175

A. Transistor Degradation Model Under NBTI Effect TABLE I


SHORT TERM CYCLE BY CYCLE MODEL OF DEGRADED V
NBTI effect can be physically described by the reaction-dif-
fusion (R-D) theory as continuous generation of charges at the
Si–SiO interface. In the reaction phase, some Si–H bonds at the
Si–SiO interface are broken under the vertical electrical stress
[9], [14], [15]. This phenomenon results in the generation of the
interface charges. Given the initial concentration of the Si–H
bonds and the concentration of the inversion carriers ,
the generation rate of the interface traps is given by [9]

(1)

where and are the reaction rates of the forward and re-
verse reactions, and is the hydrogen density at the Si–SiO where is the time exponent parameter, and for dif-
interface. During the initial period of the stress phase, trap gen- fusion, it is 1/6; has a temperature dependence as
eration rate is relatively slow [9]. Hence, and [4], is the activation energy
. Thus, (1) reduces to of hydrogen species, is the Boltzmann constant, is con-
stant parameter with the value of s nm , is a constant
(2) parameter, is the vertical electrical field, and is a
technology dependent parameter.
With the continuation of the reaction, H is produced and two In the recovery phase, due to the absence of holes, there is
hydrogen atoms H combine to generate a hydrogen molecule no net generation of interface traps. The hydrogen species dif-
H . The concentration of H is related to using fuse back and repassivate the broken Si+ bonds. Therefore, the
(3) number of interface charges at time t is given by

since two hydrogen atoms can combine to form a hydrogen mol- (9)
ecule with the rate constant [21]. Driven by the gradient
of the generated density, the generated hydrogen species
diffuse away from the interface toward the gate. The diffusion where and are constants, is the effective oxide thickness.
phase is governed by Consequently, for dynamic operation, we derive the models for
in both stress and recovery phases,
(4)

where is the diffusion constant, which depends on the ac-


(10)
tivation energy and temperature. Using the approximated diffu-
sion profile, we can get the total number of interface charges
after time , which is expressed as
(11)
(5)
The times and correspond to the time at which the stress
where is a fitting parameter, which is less than 1; is the
and recovery phases begin, respectively; has dependence
oxide thickness. By integrating (2), (3) and (5) together, we ob-
on electrical field and temperature. Table I shows the complete
tain the change of interface charges
set of formula for the calculation of , [22] provides more
details about the model derivation.
(6) The earlier models provide accurate prediction of change
from cycle to cycle. However, under regular operating condi-
where, is proportional to the vertical electrical field, tions, the impact of NBTI-induced reliability degradation is only
the inversion hole density for saturation pronounced in a long-term, e.g., through a few years. For the
region; is the gate capacitance per unit area. Substituting long-term prediction, it is impractical to run cycle-to-cycle sim-
(6) in , we can obtain the general form of ulation for the prediction of circuit aging. Hence, we derive a
degradation as closed-form expression for [15], i.e.,

(7)
(12)
where
where is the time period of one stress-recovery cycle, duty
cycle is the ratio of the time spent in stress to time period,
(8)
and is the fraction parameter of the recovery.
176 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 18, NO. 2, FEBRUARY 2010

B. Gate Delay Model Under NBTI Effect


Gate library and its characterization enable designers to
efficiently perform large-scale analysis at logic circuit level.
For circuit timing analysis under NBTI effect, library charac-
terization is designed to build delay models that are sensitive
Fig. 4. Random input sequence. (a) Normal case. (b) Extreme case. to NBTI-induced parameter shift, particularly the increase of
pMOS . In this section, we provide detailed description
TABLE II on how to modify the cell library under NBTI effect using
1
LONG TERM PREDICTION MODEL OF V FOR BOTH PERIODICAL AND
SPICE simulation. A 65-nm predictive technology model
NONPERIODICAL INPUT SEQUENCE
(PTM) [23] is used in the simulation. In this paper, the library
includes 15 cells, i.e., one inverter, two to eight-inputs NANDs,
and two to eight-inputs NORs. We model the propagation gate
delay and the output slew rate as functions of three
parameters, i.e., , input signal slew rate , and output
load capacitance . The nominal intrinsic delay for each
gate is obtained from the simulation at , ps
and fF. The degradation of the gate is calculated by
the formula shown in Table II. In the following paragraphs, we
illustrate how to develop model for the gate. The model is
developed using the same procedure.
1) is a Polynomial Function of for Fixing and
: For a given set of operating conditions (i.e., , , duty
cycle of the input signals and process conditions), we utilize the
long-term nonperiodical prediction model to get . With the
degraded threshold voltage, we employ SPICE simulation to ex-
tract under discrete working conditions, i.e., discrete values
of . Discrete values of from SPICE simulations are then
connected by fitting Chebyshev polynomial series since Cheby-
shev polynomial series have excellent accuracy in comparison
with other choices of orthogonal polynomial series [24]. Finally,
Fig. 5. Long-term prediction model verification. dependent gate delay model is obtained and the in-
formation of other technology parameters are condensed into
. For a given that is on the interval , we need
However, if the input sequence is random, we need a new to transform the interval to in order to do the Cheby-
model to identify the stress and recover time that greatly affects shev polynomial fitting. In this paper, we select V and
the NBTI degradation. For instance, a typical random input se- V, i.e., the minimum and maximum possible values for
quence within a ten-cycles period is shown in Fig. 4(a), in which under ten years stress. The required Chebyshev polyno-
there are “0”s and “1”s. An extreme case of such mial fitting nodes are
random sequence is shown in Fig. 4(b). This input vector has
only 1 flip within ten cycles, i.e., is equal to 0.9. This ob- (13)
viously means that the stress time is much longer than the re-
covery time. Here, we define the term of to where . Thus, can be calculated by
capture how many cycles are spent in stress phase. In the case of
Fig. 4(b), this term is equal to 9. Table II shows the formulas for
(14)
the long-term threshold voltage degradation due to NBTI effect
for both periodical and nonperiodical input sequence. All the or
parameters have the same value given in Table I. For more de-
tails about the physical meaning of the parameters, refer to [15].
Since NBTI-induced degradation is relatively insensitive to (15)
switching frequency when it is above 100 Hz [15], we fix
at 100 Hz in the experiment without losing the generality. We With these values available, we run SPICE simulations
verify this long-term nonperiodical prediction model by com- and get delay values . Thus, the coefficients of the
paring it with the short-term cycle-to-cycle simulation results Chebyshev polynomial are computed with the following
that are shown in Fig. 5. The long-term model matches very formulas:
well with the upper bound of the short-term simulation results.
The difference between the long-term prediction model and the (16)
short-term simulation results is within 5% for different ’s.
WANG et al.: THE IMPACT OF NBTI EFFECT ON COMBINATIONAL CIRCUIT: MODELING, SIMULATION, AND ANALYSIS 177

Fig. 7. Delay and output slew rate model extraction for different C .

Fig. 6. Delay model extraction for different 1V .

(17)

where . Then, the delay model is given by

(18)

For , , , , and
Fig. 8. Delay and output slew rate model extraction for different t .
, where can be calculated by (15) for any
. Thus, (18) can be simplified as

(19)

Fig. 6 shows the gate delay degradation versus for two


inputs NAND and NOR gates. By using Chebyshev polynomial to
fit the gate delay degradation, the maximum fitting error is only
0.38%.
2) is a Linear Function of and for Fixing :
From [25], we know that is linearly proportional to the
changes of and , i.e., and . By running SPICE
simulation for different and , we can get the gate delay
model that is a linear function of and

(20)

where and , ps, and


fF. Figs. 7 and 8 show the linear fitting result of gate Fig. 9. Timing degradation analysis algorithm.
delay degradation under different and , separately.
In summary, for the specific , , and , the gate
delay is the sum of (19) and (20). 1) the input pattern for standby mode or duty cycle of the input
for active mode; 2) the slew rate of the input signal; and 3) the
C. Hierarchical Circuit Aging Analysis gate load capacitance.
Fig. 9 illustrates the algorithm of circuit timing analysis under Given a set of input vectors at primary inputs of the circuit,
NBTI. To evaluate the timing degradation due to NBTI for each here, we assume that primary inputs are independent, the duty
gate in a levelized circuit netlist, three parameters are required: cycle at the output of any gate in the circuit can be computed
178 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 18, NO. 2, FEBRUARY 2010

TABLE III
SIMULATION RESULTS FOR ISCAS89 BENCHMARK CIRCUIT

using the duty cycles of its inputs and the logic function im-
plemented by the gate. The degradation of threshold voltage of
gate in the circuit is then obtained by evaluating the long-term
model at the particular value of duty cycle at the inputs of the
gate. The slew rate of the input signals of the gates in the first
level are defined according to the typical condition of 65 nm de-
sign. Once the information is available, including duty cycle and
slew rate for the input signal and output load capacitance, the
timing degradation for the gate under consideration is computed
from the NBTI-aware library. By adding this timing degrada-
tion to the intrinsic delay of the gate, we obtain the final gate
delay. At the same time, library model uses slew rate of the
input signals, gate load capacitance, and gate threshold voltage
degradation to calculate the slew rate of the output signal. Signal
duty cycle and slew rate are propagated from level to level, and Fig. 10. Optimal V for minimum degradation of circuit performance.
the earlier timing analysis procedure is repeated until the timing
degradation of the final level is calculated.
From this table, we conclude that the following three impor-
tant observations for dynamic circuit operation:
III. ANALYSIS OF NBTI EFFECT ON CIRCUIT PERFORMANCE 1) Temperature has a bigger impact on the degradation of
We implement the proposed timing analysis framework in circuit performance than the operating supply voltage.
C++. This section describes the results and key insights obtained For instance, after ten years stress, the delay degradation
by performing timing analysis on ISCAS89 benchmark circuits of circuit C2670 is 17.09% under LH condition, while
[26]. A 65-nm technology is used throughout this section, and it is 13.68% under LL condition. The degradation dif-
we choose f = 100Hz for all the analysis. ference caused by temperature is 3.41%. If we further
reduce to room temperature, the delay degradation
can be reduced to 8.86%. Therefore, lowering the tem-
A. Supply Voltage and Temperature Dependence
perature is a very effective approach to minimize NBTI
NBTI has strong dependence on and [14], [15]. Here, effect.
refers to operating supply voltage for a given circuit. The 2) Within voltage variations, tuning operating
nominal is assumed to be 0.9 V and the nominal is 80 C. does not show any advantage in reducing NBTI. For ex-
and profiles are extracted from an industrial 65 nm de- ample, the delay degradation of circuit C1355 is 6.49%
sign. The variations of and for the whole chip are within under LH condition, while it is 6.21% under HH con-
. For the purpose of circuits timing analysis, we select five dition. The degradation difference caused by voltage is
representative operating conditions with different combinations only 0.28%.
of and , i.e., high and high (HH), low and low 3) Although lower operating is intuitively preferred to
(LL), high and low (HL), low and high (LH), reduce the amount of circuit aging, this intuition does
and normal and normal (NN). In order to analyze the tem- not hold true any more for scaled CMOS design, as ob-
perature dependence in a wider range, we also include one more served in our simulation results. On the contrary, lower
condition: low and room temperature (LL′). Table III shows operating voltage may lead to more circuit timing degra-
the delay degradation for different benchmark circuits after one dation than that under higher voltage at 65-nm tech-
year, five years, and ten years stress. nology node, as shown in Fig. 10. Given the stress time,
WANG et al.: THE IMPACT OF NBTI EFFECT ON COMBINATIONAL CIRCUIT: MODELING, SIMULATION, AND ANALYSIS 179

TABLE IV
DELAY DEGRADATION IN PERCENT OVER TIME FOR ISCAS89 BENCHMARK
CIRCUIT (STATIC OPERATION)

there exists an optimum operating that achieves the


minimum amount of circuit delay degradation. When
is lower than that value, circuit performance be-
comes increasingly sensitive to change, and thus,
the degradation rate goes up even though the absolute
amount of increases is smaller than that at higher
. On the other hand, when is higher, the amount Fig. 11. Leakage versus delay degradation for different input vectors.
of increases exponentially, dominating the perfor-
mance degradation. The exact value of the optimum op-
erating also depends on the technology node and the to apply a set of preferred input pattern either to the entire cir-
circuit structure. cuit or to some preidentified critical units to mitigate both the
temporal degradation caused by NBTI and the circuit leakage.
B. Input Control in Static and Dynamic Operation Fig. 11 shows the relation between the circuit leakage and the
In addition to the dependence on and , NBTI has a circuit delay degradation caused by NBTI for different input
clear preference on the gate voltage. For a pMOS, a gate bias patterns. We can see that given the required constraint for both
at helps the recovery, while a gate bias at “0” stresses the leakage and delay degradation (the shadow region in Fig. 11),
transistor. The longer it is under recovery (i.e., the lower the a set of input patterns can be preselected and applied to the en-
duty cycle is), the smaller the transistor suffers. Because tire circuit at the standby mode, such that both the total leakage
of this mechanism, NBTI is strongly affected by node activity. current and delay degradation are minimized. In this example,
At standby mode, this implies the dependence on input patterns; 1% of sampled input patterns provides the minimum of both cir-
during the dynamic operation, duty cycle further impacts the cuit delay degradation and the leakage (the red square region in
relative time of period between stress and recovery. Fig. 11). Such small percentage implies a low overhead in hard-
1) Input Pattern Dependence: For a circuit containing in- ware implementation to apply this technique.
puts, each input signal can be either set to be “1” or ’0’ during 2) Duty Cycle Dependence: For a circuit operating at dy-
the standby mode. Thus, the circuit can have at most pos- namic mode, the probability that each input can take a value of
sible input patterns. Since NBTI has strong dependence on the “1” or “0” can be any continuous value between 0 and 1. For a
input pattern of the circuit, different input patterns will result given circuit with inputs, , is the duty cycle
in significantly different delay degradations. An input vector of input . We define one combination of as
that results in the least delay degradation of the circuit is re- one set. Since for an -input circuit, the number of distinct
ferred to as the best standby mode. Similarly, an input vector sets can be infinite, in order to analyze the impact of different
that results in the most delay degradation is referred to as the sets on the circuit performance, we choose five typical values:
worst standby mode. We estimate the best and the worst standby 0.1, 0.3, 0.5, 0.7, and 0.9 for each . That means in the sets,
mode by sampling the circuit with 500 different input vectors. all s are set to either 0.1, 0.3, 0.5, 0.7, or 0.9.
By biasing the benchmark circuits under the worst and the best Fig. 12 shows how the delay degradation of circuits changes
standby modes, we compare their delay degradations for one, with time. We use two benchmark circuits, namely Parity and
five, and ten years period in Table IV. From Table IV, we can 9symml, from ISCAS89 benchmark. As shown in the figure,
see that the delay degradation caused by NBTI can be greatly for the same circuit, different sets can result in very different
reduced by applying the optimal input pattern to the entire cir- timing degradation. For example, after one year stress, the delay
cuit in the standby mode. A typical example is circuit C1355. degradation of circuit 9symml with input duty cycle of set1
After ten years, the delay degradation for it with worst standby is nearly 2 larger than that with set3. In addition, the dif-
mode, is 49.70%, while under best standby mode it is 11.79%. ference in delay degradation increases with time, i.e.,
The difference in delay degradation can be more than 4 among is much larger than . As mentioned previously, NBTI has a
different input patterns. clear preference to the gate bias due to its exponential depen-
Besides NBTI effect, the leakage current of circuit also has dence on the electrical field. Therefore, for the circuit operating
strong dependence on the input pattern. Therefore, it is feasible at dynamic mode, by adjusting the inputs signal set to make
180 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 18, NO. 2, FEBRUARY 2010

Fig. 12. Delay degradation over time for various duty cycle sets of circuit
Parity.

Fig. 14. Frequency degradation of RO under both process variation and NBTI
effect.

C. Interaction of NBTI Effect With Process and Design


Uncertainties
While NBTI effect originates from a transistor-level phenom-
enon, its impact on circuits interacts with many other process
and circuit parameters. Based on the earlier simulation frame-
work, we further examine these interactions with process vari-
ability and operation uncertainty in this section.
1) Interaction With Process Variability: For 65 nm tech-
nology, process variations, such as that in the threshold voltage
due to random dopant fluctuations, add a large portion of un-
certainties in circuit design. Since NBTI-induced transistor and
Fig. 13. Histogram for the delay of sampled sets.
circuit performance degradations are highly sensitive to process
parameters and operation conditions, including , tempera-
ture, and switching activity, circuit aging strongly interacts with
the relative time it stays at the recovery state longer, NBTI-in- static process variations. Under NBTI effect, a pMOS device
duced degradation is reduced. with lower degrades much faster than that of a higher
Fig. 13 shows the histogram for the delays of the sampled pMOS, and thus, its increases more after the degradation.
sets. The axis represents how many sets generate sim- As a result, the difference in among transistors becomes
ilar circuit delay. The left part of the figure is the histogram for smaller after some period of the stress. Fig. 14 shows the fre-
region A in Fig. 12, and the right part of the figure shows the quency change of 11-stages ring oscillator with increasing time.
histogram for region B in Fig. 12. Here, A means all possible At time equals to 0, the difference between low and high
delay degradation values of the circuit under one year operation, is 60 mV, which results in 6.2% variation in frequency. At time
while B means degradation values after ten years operation. The equals to ten years, it reduces to 1.6%. With time increasing, fre-
figure illustrates that the delay degradation profile of the circuit quency difference that causes by process variations decreases.
after ten years dynamic stress has a much wider spread com- From Fig. 14, we also observe that the frequency degradation
pared to the spread of the degradation after one year. This means caused by NBTI effect after ten years stress is 10.5%, which is
that with increasing time, different sets tend to generate more more than the difference caused by process variations at .
and more diversified effects on the circuit degradation. In other For robust circuit design, this information implies that both tem-
words, several sets might result in similar circuit delay degra- poral change under NBTI and static process variation are nec-
dation in a short time period. However, on a long term, they can essary to be considered in the design stage.
result in quite different degradations. Furthermore, we observe 2) Path Reordering for Multiple Output Circuits: An impor-
that more and more input sets tend to generate larger timing tant aspect of the effect of NBTI on circuit timing is the possible
degradation and the path delay distribution becomes wider in critical path reordering. For traditional static timing analysis, the
the long run. This is because different input results in quite paths of a circuit with multiple outputs have a fixed timing order
different (Fig. 2), which correspondingly leads to wide over time. However, under NBTI effect, the original critical path
distribution of circuit path timing. Therefore, modulating node may become noncritical one and vice versa, since the degrada-
activities will be a very useful design knob to mitigate NBTI ef- tion of gate delay is strongly influenced by input duty cycle and
fect for dynamic operation. the sequence, which are uncertain in real operation.
WANG et al.: THE IMPACT OF NBTI EFFECT ON COMBINATIONAL CIRCUIT: MODELING, SIMULATION, AND ANALYSIS 181

studies of the impact of NBTI effect on the performance of com-


binational circuits, during both static and dynamic operations.
We observe that at 65 nm technology generation, reducing tem-
perature is an effective way to minimize NBTI effect. Up to 60%
delay degradation can be offset if the temperature can be main-
tained at room temperature. During the dynamic operation, the
delay degradation caused by NBTI effect is relatively insensitive
to supply voltage, while lower even leads to higher degra-
dation rate. The input control is also an effective approach to
minimize NBTI-induced circuit performance degradation. Var-
ious input patterns and duty cycle sets cause 3–5 difference in
circuit delay degradation. Overall, this analysis provides a solid
basis for further design exploration to improve circuit reliability
under NBTI effect.

ACKNOWLEDGMENT
The authors would like to thank Dr. Vijay Reddy and Dr.
Srikanth Krishnan at TI for the insightful discussions.

REFERENCES
[1] V. Reddy, A. T. Krishnan, A. Marshall, J. Rodriguez, S. Natarajan, T.
Rost, and S. Krishnan, “Impact of negative bias temperature instability
on digital circuit reliability,” in Proc. IEEE Int. Rel. Phys. Symp., Apr.
Fig. 15. Example circuit to demonstrate the critical path changing with time. 2002, pp. 248–254.
(a) C17 benchmark circuit. (b) Timing degradation versus time.
[2] G. Chen, K. Y. Chuah, M. F. Li, D. S. Chan, C. H. Ang, J. Z. Zheng,
Y. Jin, and D. L. Kwong, “Dynamic NBTI of pMOS transistors and
its impact on device lifetime,” in Proc. Int. Rel. Phys. Symp., 2003, pp.
196–202.
Such path reordering is very likely to happen under NBTI:
[3] J. Puchner and L. Hinh, “NBTI reliability analysis for a 90 nm CMOS
originally, at , NBTI is not in effect and the critical technology,” in Proc. ESSDERC, 2004, pp. 257–260.
path has a larger delay than the noncritical path. We assume that [4] S. Mahapatra, P. B. Kumar, and M. A. Alam, “Investigation and mod-
the noncritical path is much more sensitive to NBTI effect under eling of interface and bulk trap generation during negative bias temper-
ature instability of p-MOSFETS,” IEEE Trans. Electron Devices, vol.
set1, whereas the critical path is not sensitive to set1; on the 51, no. 9, pp. 1371–1379, Sep. 2004.
other hand, the noncritical path is not sensitive to set2 and the [5] B. C. Paul, K. Kang, H. Kufluoglu, M. A. Alam, and K. Roy, “Impact
critical-path is highly sensitive to set2. With increasing time of NBTI on the temporal performance degradation of digital circuits,”
IEEE Electron Device Lett., vol. 26, no. 8, pp. 560–562, Aug. 2005.
and input signal switching from set2 to set1, the critical
[6] S. Borkar, “Electronics beyond nano-scale CMOS,” in Proc. ACM/
path and the noncritical path may experience different amount of IEEE Design Autom. Conf., 2006, pp. 807–808.
degradation and eventually switch their roles. To illustrate this [7] D. K. Schroder and J. A. Babcock, “Negative bias temperature insta-
effect, we simulate circuit C17 using the proposed framework. bility: Road to cross in deep submicron silicon semiconductor manu-
facturing,” J. Appl. Phys., vol. 94, no. 1, pp. 1–18, Jul. 2003.
Fig. 15(a) shows its circuit netlist and (b) is the delay degrada- [8] S. Chakravarthi, A. T. Krishnan, V. Reddy, C. F. Machala, and S. Kr-
tion over time. At time , the outputs 9 and 10 have the same ishnan, “A comprehensive framework for predictive modeling of neg-
delay. We then bias the circuit to set1 that results in output ative bias temperature instability,” in Pro. IEEE Int. Rel. Phys. Symp.,
2004, pp. 273–282.
9 to degrade more than output 10. After some time, we change [9] M. A. Alam and S. Mahapatra, “A comprehensive model of pMOS
the input to set2. Eventually, the arrival time of output 10 sur- NBTI degradation,” in Microelectronics Reliability, Aug. 2005, vol. 45,
passes that of output 9. For traditional design optimization, one pp. 71–81.
basic object is to identify the critical path of the circuit, size up [10] A. T. Krishnan, C. Chancellor, S. Chakravarthi, P. E. Nicollian, V.
Reddy, and A. Varghese, “Material dependence of hydrogen diffusion:
the gates in the critical path for performance speedup, and size Implication for NBTI degradation,” in Proc. IEEE Int. Electron De-
down the gates in noncritical path for power and area reduc- vices Meeting, Dec. 2005, pp. 688–691.
tion. Due to NBTI-induced path reordering, NBTI-aware timing [11] S. Mahapatra, D. Saha, D. Varghese, and P. B. Kumar, “On the gen-
eration and recovery of interface traps in mosfets subjected to NBTI,
analysis and optimization will be more complicated and requires FN, and HCI stress,” IEEE Trans. Electron Devices, vol. 53, no. 7, pp.
innovative solutions. Not only the critical path, but also a large 1583–1592, Jul. 2006.
set of potential critical paths need to be optimized at the design [12] N. Kimizuka, T. Yamamoto, T. Mogami, K. Yamaguchi, K. Imai, and
stage. T. Horiuchi, “The impact of bias temperature instability for direct-tun-
neling ultrathin gate oxide on MOSFET scaling,” in Proc. VLSI Symp.
Tech., 1999, pp. 73–74.
IV. CONCLUSION [13] K. Kang, S. P. Park, K. Roy, and M. A. Alam, “Estimation of statistical
variation in temporal NBTI degradation and its impact on lifetime cir-
In this paper, we propose a general framework to design and cuit performance,” in Proc. IEEE/ACM Int. Conf. Comput.-Aided De-
sign, 2007, pp. 730–734.
analyze CMOS circuits under aging effects. This framework is
[14] R. Vattikonda, W. Wang, and Y. Cao, “Modeling and minimization
compatible with existing design flow and requires minimal tool of pMOS NBTI effect for robust nanometer design,” in Proc. Design
overhead. By using this framework, we perform comprehensive Autom. Conf., Jul. 2006, pp. 1047–1052.
182 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 18, NO. 2, FEBRUARY 2010

[15] S. Bhardwaj, W. Wang, R. Vattikonda, Y. Cao, and S. Vrudhula, “Pre- feature development. In 2009, he joined the SOC R&D Center in Communica-
dictive modeling of the NBTI effect for reliable design,” in Proc. IEEE tion and Information Engineering Department, Shanghai University and was se-
Custom Integr. Circuits Conf., Sep. 2006, pp. 189–192. lected as the Shanghai Eastern Scholar Professor. He holds several Chinese and
[16] T. Grasser, B. Kaczer, P. Hehenberger, W. Gos, R. O. Connor, H. U.S. patents. He has published numerous papers in major IEEE conferences and
Reisinger, W. Gustin, and C. Schlunder, “Simultaneous extraction of Transactions. His research interests include multimedia MPSOC design, em-
recoverable and permannent components contributing to bias-tem- bedded system design, low-power and reliable VLSI design, power modeling
perture instability,” in Proc. Int. Electron Devices Meeting, 2007, pp. and optimization, and CMOS compact modeling.
801–804.
[17] V. Huard, C. Parthasarathy, N. Rallet, C. Guerin, M. Mammase, D.
Barge, and C. Ouvrard, “New characterization and modeling apporach
for NBTI degradation from transistor to product level,” in Proc. Int. Sarvesh Bhardwaj received the B.Tech. degree in
Electron Devices Meeting, 2007, pp. 797–800. electrical engineering from the Indian Institute of
[18] V. Reddy, J. Carulli, A. T. Krishnan, W. Bosch, and B. Burgess, Technology, Delhi, India, in 2000, the M.S. degree
“Impact of negative bias temperature instability on product parametric in electrical and computer engineering from the
drift,” in Proc. Int. Test Conf., 2004, pp. 148–155. University of Arizona, Tucson, in 2003, and the
[19] S. V. Kumar, C. H. Kim, and S. S. Sapatnekar, “An analytical model Ph.D. degree in electrical engineering from Arizona
for negative bias temperature instability,” in IEEE/ACM Int. Conf. State University, Tempe, in 2006.
Comput.-Aided Design, 2006, pp. 493–496. He is currently a Senior R&D Engineer with
Synopsys, Inc., Mountain View, CA. During 2007,
[20] B. C. Paul, K. Kang, H. Kufluoglu, M. A. Alam, and K. Roy, “Tem-
he was a Postdoctoral Research Associate in the
poral performance degradation under NBTI: Estimation and design for
School of Computing and Informatics, Arizona
improved reliability of nanoscale circuits,” in Proc. ACM/IEEE Design
State University. From Januaryto July 2007, he was a Staff Engineer with
Autom.Test Eur., 2006, pp. 780–785. Stratosphere Solutions. During 2000, he was with MindTree Consulting, Ban-
[21] M. A. Alam, “On the reliability of micro-electronic devices: An intro- galore, as a Very-Large-Scale Integration (VLSI) Design Engineer. His current
ductory lecture on negative bias temperature instability,” Nanotechnol. research interests include statistical analysis and optimization of integrated
501 Lect. Ser., Sep. 2005 [Online]. Available: http://www.nanohub. circuits in the presence of process variations, logic synthesis, and design for
org/resources/?id=193. manufacturability.
[22] W. Wang, V. Reddy, A. Krishnan, R. Vattikonda, S. Krishnan, and Y.
Cao, “Compact modeling and simulation of circuit reliability for 65 nm
CMOS technology,” IEEE Trans. Device Mater. Rel., vol. 7, no. 4, pp.
509–517, Dec. 2007. Sarma Vrudhula received the B.Math. (Honors)
[23] W. Zhao and Y. Cao, “New generation of predictive technology model degree from the University of Waterloo, Waterloo,
for sub-45 nm early design explorations,” IEEE Trans. Electron De- ON, Canada, in 1976, and the M.S. and Ph.D.
vices, vol. 53, no. 11, pp. 2816–2823, Nov. 2006. degrees in electrical engineering from the University
[24] [Online]. Available: http://math.fullerton.edu/mathews/n2003/Cheby- of Southern California, Los Angeles, in 1980 and
shevPolyMod.html 1985, respectively.
[25] T. Sakurai and A. R. Newton, “Alpha-power law mosfet model and During 1985–1992, he was with the Electrical
its application to CMOS inverter delay and other formulas,” IEEE J. Engineering Systems Department, University of
Solid-State Circuits, vol. 25, no. 2, pp. 584–594, Apr. 1990. Southern California. From 1992 to 2005, he was a
[26] [Online]. Available: http://www.cbl.ncsu.edu/ Professor with the Electrical and Computer Engi-
neering Department, University of Arizona, Tucson,
and the Director of the Natinal Science Foundation (NSF) UA/ASU Center
for Low Power Electronics, where he is currently the Consortium for Em-
bedded Systems Chair Professor in the Department of Computer Science and
Engineering. His work spans several areas in design automation and computer
Wenping Wang (S’06) received the B.S. and M.S. aided design for digital integrated circuit and systems. These include stochastic
degrees from Changchun University of Science and models and methods for the analysis and optimization of power and perfor-
Technology, Changchun, China, in 2000 and 2003, mance of deep submicron VLSI circuits in the presence of process variations;
respectively, and the Ph.D. degree in electrical en- low power circuit and system design, power, thermal and energy management,
gineering from Arizona State University, Tempe, in and synthesis and verification of threshold logic. He has served on the technical
2008. program committees of many national and international conferences in VLSI
She worked as a research assistant at Peking Uni- design automation and CAD, and on government review panels.
versity, Beijing, China, from 2001 to 2003. She is Prof. Vrudhula was an Associate Editor for the IEEE TRANSACTIONS ON
currently with Vitesse Semiconductor Corporation, VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS and is currently an As-
Austin, TX, as a Reliability Engineer. Her current sociate Editor for the IEEE TRANSACTION ON COMPUTER-AIDED DESIGN, and
research interests include modeling of transistor and the ACM Transactions on Design Automation of Electronic Systems. During
circuit reliability degradation, particularly negative bias temperature instability 2000–2001, he was a Visiting Scientist with the Advanced Design Tools group
(NBTI) and hot carrier injection (HCI) effects, development of aging simulation in Motorola, Austin, TX.
flow for both digital and analog circuits, and design solutions for circuit aging.

Frank Liu (S’95–M’99–SM’09) received the Ph.D.


Shengqi Yang received the B.S. degree (Mechanical degree in electrical and computer engineering from
Engineering Department), the Economic Double Carnegie Mellon University, Pittsburgh, PA.
Major degree (China Economic Research Center), in He is currently a Research Staff Member with
2000, and the M.S. degree (Institute of Microelec- IBM Austin Research Laboratory, Austin, TX. His
tronics), in 2002, all from Peking University, Beijing, current research interests include circuit analysis,
China. He received the Ph.D. degree from Electrical model order reduction, numerical analysis, as well
Engineering Department at Princeton University, as variability characterization and modeling. He has
Princeton, NJ, in 2006. His Ph.D. dissertation is authored and coauthored over 30 conference and
low-power VLSI system design with consideration journal papers.
of reliability and security. Dr. Liu was the corecipient of a Best Paper Award
He joined Intel in March 2006 and served as a Se- at the Asia and South Pacific Design Automation Conference (ASP-DAC). He
nior SOC Architect in Digital Home Group and was responsible for Canmore was on the Technical Program Committees of ICCAD, ASP-DAC, and Interna-
SOC video architecture. Starting from April 2008, he worked for Intel Mo- tional Symposium on Circuits and Systems (ISCAS). He was also the Technical
bility Group and was responsible for Gen6 and Gen7 GPU media processing Program Committee Chair of the 2008 IEEE/ACM TAU workshop.
WANG et al.: THE IMPACT OF NBTI EFFECT ON COMBINATIONAL CIRCUIT: MODELING, SIMULATION, AND ANALYSIS 183

Yu Cao (S’99–M’02) received the B.S. degree in published numerous articles and coauthored one book on nano-CMOS physical
physics from Peking University, Beijing, China, and circuit design. His current research interests include physical modeling of
in 1996, the M.A. degree in biophysics and the nanoscale technologies, design solutions for variability and reliability, and reli-
Ph.D. degree in electrical engineering from the able integration of postsilicon technologies.
University of California, Berkeley, in 1999 and Dr. Cao was a recipient of the 2008 Chunhui Award for outstanding oversea
2002, respectively. Chinese scholars, the 2007 Best Paper Award at International Symposium on
He worked as a summer intern at Hewlett-Packard Low Power Electronics and Design, the 2006 National Science Foundation CA-
Laboratories, Palo Alto, CA, and at IBM Microelec- REER Award, the 2006 and 2007 IBM Faculty Award, the 2004 Best Paper
tronics Division, East Fishkill, NY, in 2001. He was Award at International Symposium on Quality Electronic Design, and the 2000
a Postdoctoral Researcher at Berkeley Wireless Re- Beatrice Winner Award at International Solid-State Circuits Conference. He cur-
search Center (BWRC). He is currently an Assistant rently serves on the Technical Program Committee of numerous design automa-
Professor of electrical engineering at Arizona State University, Tempe. He has tion and circuit design conferences.

Você também pode gostar