Você está na página 1de 87

CONTENIDO

pág.

INTRODUCCIÓN 5

1 TRANSMISIÓN DE SEÑALES SOBRE FIBRA ÓPTICA 7


1.1 FIBRA ÓPTICA 7
1.1.1 Ventajas y desventajas de la fibra óptica 11
1.1.2 Tipos de fibra 13
1.1.3 Sistemas de comunicación por fibra óptica 17
1.2 WDM 18
1.2.1 Por qué usar WDM? 19
1.2.2 Evolución de WDM 20
1.3 SEÑAL DE VOZ 21

2 ARQUITECTURA HARDWARE DEL SISTEMA 27


2.1 DESCRIPCIÓN GENERAL 27
2.2 CAPTURA DE LA SEÑAL DE VOZ 27
2.3 ADECUACIÓN DE LA SEÑAL DE VOZ 28
2.3.1 Filtro de entrada 29
2.3.1.1 Filtro pasa alto 30
2.3.1.2 Filtro pasa bajo 32
2.3.2 Control automático de ganancia 34
2.3.3 Adecuación de voltajes entregados al microcontrolador 37
2.4 PARÁMETROS DE ELECCIÓN DEL MICROCONTROLADOR 37
2.5 CARACTERÍSTICAS DEL PIC16F873 40
2.6 MULTIPLEXACIÓN EN EL TIEMPO 43
2.6.1 Funciones del microcontrolador en la multiplexación en el tiempo 45
2.7 CONVERSOR ELECTRO ÓPTICO 47
2.8 DIODOS LEDS COMO FUENTES ÓPTICAS 49
2.8.1 Características de la fuente seleccionada 50
2.9 ACOPLADOR WDM 52
2.9.1 Características del acoplador seleccionado 56
2.10 CARACTERÍSTICAS DE LA FIBRA SELECCIONADA 60
2.11 DETECTORES ÓPTICOS 61
2.11.1 Características del detector seleccionado 62
2.12 ADECUACIÓN DE LA SEÑAL ENTREGADA POR EL FOTODETECTOR 65
2.13 DEMULTIPLEXACIÓN EN EL TIEMPO 66
2.14 INTERFAZ DE SALIDA 67
2.15 TRANSMISIÓN DE DATOS 70

3 ARQUITECTURA SOFTWARE DEL SISTEMA 73


3.1 FIRMWARE PARA LA TRANSMISIÓN DE VOZ 73
3.2 FIRMWARE PARA LA RECEPCIÓN DE VOZ 75
3.3 SOFTWARE DEL PC PARA LA TRANSMISIÓN DE DATOS 77
3.4 FIRMWARE PARA LA TRANSMISIÓN DE DATOS 79

CONCLUSIONES 81
RECOMENDACIONES PARA TRABAJOS FUTUROS EN ESTA LÍNEA 85
REFERENCIAS BIBLIOGRÁFICAS 86
RESUMEN

Modulación digital con conversión A/D D/A

Diseñar y construir un sistema didáctico de transmisión punto a punto, con modo


de operación simplex, de dos canales de voz por medio de fibra óptica usando
multiplexación en longitud de onda. En la figura está representado el proceso
seguido por la señal de voz desde el extremo emisor hasta el extremo receptor.

El sistema consta de un módulo emisor a 850 nm y otro a 1300 nm y un módulo


receptor a 850 nm y 1300 nm respectivamente.
En el transmisor se encuentra una etapa de filtrado que deja pasar señales de voz
con frecuencias entre las acordadas en canal nominal de la voz a 4 kHz de la ITU.
A continuación se encuentra una etapa de adecuación de la señal para garantizar
una señal análoga constante a la entrada del microcontrolador. El
microcontrolador es el que cumple con tareas como el muestreo y codificación de
la señal, se encarga de recibir las dos señales de entrada análogas, establecer
una trama que permita la multiplexación en el tiempo y finalmente transmitir bit a
bit la trama. Debido a que el propósito es el de transmitir señales luminosas, se
diseña un circuito electro óptico conformado por un circuito excitador y un LED. A
continuación se acoplan dos dispositivos WDM los cuales permiten la
multiplexación y demultiplexación en longitud de onda de ambos canales.
En este proyecto se empleó una fibra multimodo, con un núcleo de 62.5 m y una
cubierta 125 m.
Un circuito fotodetector basado en un fotodiodo PIN convierte los cambios de nivel
óptico a cambios de niveles de voltaje. Estos niveles de voltaje pasan por un
circuito comparador el cual regula los niveles de voltaje entre 0 V y 5 V para que la
señal digital ingrese al microcontrolador donde se realiza la demultiplexación en el
tiempo de dos canales. La salida del microcontrolador va hacia un conversor
digital análogo donde se reconstruyen las señales de audio, seguido de un filtro
pasa bajo, para eliminar componentes de frecuencia indeseados. Finalmente se
encuentra un dispositivo que permite reproducir la señal de voz de forma audible.
INTRODUCCIÓN

Debido al gran auge que se está experimentado en la transmisión de datos, las


redes actuales de alta velocidad y anchos de banda considerables no serán
suficientes para satisfacer las necesidades de los usuarios a mediano plazo. Por lo
anterior, es preciso proponer una solución que supla dicha demanda y además
garantice condiciones favorables en cuanto a distancia de los enlaces, atenuación
de la señal, pérdidas de retorno, rendimiento y potencia, entre otros.

Para superar dichas limitaciones se propone un sistema de comunicación por fibra


óptica usando WDM (multiplexación en longitud de onda). Hacer uso de la fibra es
una forma habitual de maximizar la transferencia de información en un enlace de
comunicaciones en general, y WDM en particular, consiste en multiplexar varias
señales sobre un único medio de transmisión aprovechando de esta forma su
ancho de banda total.

Los sistemas de comunicación óptica multiplexados en longitud de onda están


atrayendo una atención creciente debido a su aplicación como sistema
multiacceso de gran ancho de banda. La multiplexación en longitud de onda
permite que varias señales sean transmitidas simultáneamente por una sola fibra
óptica modulando señales discretas en diferentes bandas de frecuencia, es decir,
se divide el espectro de transmisión óptico de una fibra óptica en varias longitudes
de onda, cada una transportando un torrente de datos de forma independiente (un
canal). De esta manera el espectro óptico de transmisión es dividido en varias
bandas de longitud de onda, donde cada lambda () transporta un canal de
comunicación distinto.
En este trabajo se presenta un sistema de transmisión punto a punto y con una
aplicación dedicada a la transmisión de voz, para esto se propone el diseño de un
sistema que consta de varias etapas. Una primera etapa dedicada al
acondicionamiento de señal, seguida de una etapa de multiplexación en el tiempo
(TDM), a continuación se adapta un dispositivo WDM que tiene como función
hacer la multiplexación en longitud de onda, en este caso 850 nm y 1300 nm,
posteriormente se hace uso de la fibra óptica como medio físico de transmisión y
finalmente se da lugar al diseño de la etapa de recepción que consta a su vez de
un demultiplexor y la adecuación de la señal. Con este diseño se busca transmitir
dos canales de voz por un canal nominal definido por la ITU desde 300 Hz a 3400
Hz, con las longitudes de ondas establecidas.

El documento está dividido en cinco capítulos los cuales enuncian uno a uno los
diferentes aspectos de los que está compuesto este proyecto. En el capítulo uno
se expone el marco teórico, en el se encuentran los principios de los temas
relacionados a lo largo del proyecto. El capítulo dos describe ampliamente la
arquitectura hardware que conforma el sistema y en el capítulo tres el software
correspondiente. El capítulo cuatro enumera las pruebas que se realizaron a cada
módulo del sistema y al sistema en general y finalmente el capítulo cinco contiene
cinco prácticas de laboratorio sugeridas que se elaboraron con el ánimo que sirvan
de apoyo en futuros laboratorios en el área de Telecomunicaciones.
1. TRANSMISIÓN DE SEÑALES SOBRE FIBRA ÓPTICA

El objetivo de este capítulo es presentar los aspectos básicos acerca de fibra


óptica, multiplexación en longitud de onda, transmisión con estas tecnologías y
algunas de las características tenidas en cuenta de las señales de voz para este
trabajo en particular.

Esta información está a un nivel básico para que sea más sencillo entender los
aspectos más importantes de este proyecto. Esto es de importancia para la
formulación de trabajos futuros en esta rama, así como apoyo para el manejo del
sistema diseñado para las prácticas de laboratorio en fibra óptica en el área de
Telecomunicaciones.

1.1 FIBRA ÓPTICA

Los hilos de fibra óptica son filamentos de vidrios flexibles, con un diámetro típico
de 125 m. Figura 1.1. Llevan mensajes en forma de haces de luz que pasan a
través de ellos de un extremo a otro, donde quiera que el filamento vaya
(incluyendo curvas y esquinas) sin interrupción.

Para alcanzar los objetivos se deben tener presentes ciertas cualidades de la fibra
óptica, como son su sensibilidad a la curvatura y a la microcurvatura, la resistencia
mecánica, y las características de envejecimiento. Algunos datos técnicos están
consignados en la tabla 1.1.
Tabla 1.1 Datos técnicos de la fibra óptica multimodo

Diámetro (mm) 3.0

Tensión max en instalación (kg) 50

Tensión max permanente (kg) 30

Radio de curvatura (cm²) 3

Temperatura -550C a +125C

Estos parámetros deben supervisarse para evitar daños en la estructura de la fibra


ya que pueden provocar incremento en la atenuación de la señal óptica:

 El esfuerzo máximo permitido en la fibra durante su fabricación y servicio;


determina la fuerza mínima de ruptura de la fibra y la fuerza que la fibra soporta de
tensión.
 La fuerza lateral dinámica y estática máxima ejercida sobre la fibra, para
determinar la configuración del cable y el límite de tolerancia de microcurvaturas.
• La flexibilidad
• El rango de temperatura y medio ambiente en donde el cable va a operar.
 También existe un límite de enrollamiento, es decir, el ángulo de curvatura
de la fibra no debe ser muy pronunciado [1].
Figura 1.1 Cable de fibra óptica

Originalmente, la fibra óptica fue propuesta como medio de transmisión debido a


su enorme ancho de banda, sin embargo con el tiempo se ha planteado para un
amplio rango de aplicaciones además de la telefonía, automatización industrial,
computación, sistemas de televisión por cable y transmisión de información de
imágenes astronómicas de alta resolución entre otros. También pueden usarse,
tanto en pequeños ambientes autónomos (tales como sistemas de procesamiento
de datos de aviones), como en grandes redes geográficas (como los sistemas de
largas líneas urbanas mantenidos por compañías telefónicas).

El concepto de las comunicaciones por ondas luminosas ha sido conocido por


muchos años. Sin embargo, no fue hasta mediado de los años setenta que se
publicaron los resultados del trabajo teórico. Estos indicaban que era posible
confinar un haz luminoso en una fibra transparente flexible y proveer así un
análogo óptico a la señalización eléctrica por alambres. El problema técnico que
se tenía que resolver para el avance de la fibra óptica residía en las fibras mismas,
que absorbían luz que dificultaba el proceso. Para una comunicación práctica, la
fibra óptica debe transmitir señales luminosas detectables a muchos kilómetros. El
vidrio ordinario tiene un haz luminoso de pocos metros de alcance. Sin embargo,
han desarrollado nuevos vidrios muy puros con transparencias mucho mayores
que la del vidrio ordinario. Este gran avance ha dado ímpetu a la industria de fibras
ópticas.

La mayoría de las fibras ópticas se hacen de arena o sílice, materia prima


abundante en comparación con el cobre.

Los dos constituyentes esenciales de las fibras ópticas son el núcleo, la funda
óptica y el revestimiento. El núcleo es la parte más interna de la fibra y es la que
guía la luz. Consiste en una o varias hebras delgadas de sílice, cuarzo fundido o
plástico con diámetro de 50 m o 62.5 m para la fibra multimodo y 9 m para la
fibra monomodo. La funda óptica, generalmente de los mismos materiales que el
núcleo pero con aditivos que confinan las ondas ópticas en el núcleo. Y el
revestimiento de protección, por lo general está fabricado en plástico y asegura la
protección mecánica de la fibra, la protege contra la humedad, el aplastamiento,
los roedores, y otros riesgos del entorno [2]. Figura 1.2.

Figura 1.2 Partes de la fibra óptica

Los problemas de dispersión modal pueden afectar la transmisión de cualquier


información emitida por medio de impulsos de luz. Esto se denomina algunas
veces como capacidad a la respuesta impulsiva de la fibra óptica. La dispersión
modal y la del material tienden a ensanchar los impulsos de luz en el tiempo y
aunque la transmisión de información pudiera haber comenzado con impulsos
cortos y perfectamente espaciados, este tipo de dispersión puede ocasionar que
los impulsos ocupen un gran intervalo de tiempo y de este modo reducir el
espaciamiento temporal entre ellos llegando, en el peor de los casos, a un
solapamiento tan grande de los impulsos que no existen ni impulsos ni espacios.
Habría únicamente un rayo de luz continuo en la salida. La dispersión relaciona,
pues, la velocidad de propagación de las distintas frecuencias dentro de la banda
de frecuencias transmitidas por la guía de luz óptica.
Una de las consideraciones más importantes en la utilización de fibras ópticas y
rayos de luz para transmisión de datos y comunicaciones es el hecho de que los
rayos luminosos son prácticamente inmunes a las interferencias eléctricas cuando
se envían sobre un camino de transmisión de fibra óptica. Las radiaciones
electromagnéticas, como descargas eléctricas, rayos y efectos de diafonía que
actúan como fuentes de interferencias, son prácticamente eliminados en un
sistema de transmisión por fibra óptica.

Se debe tener presente también el significado del uso de la luz y de las


frecuencias luminosas en las comunicaciones. Si se considera el hecho de que
para la transmisión de información es necesaria una pequeña banda de
frecuencias (quizás del orden de los kHz), se debe pensar entonces en la cantidad
de bandas que puede contener la región luminosa del espectro de frecuencias sin
que se interfieran unas con otras. Además, como las bandas pueden hacerse más
anchas, es posible transmitir información a velocidades mayores. Podrían llegar a
usarse velocidades del orden de los Gbps e incluso superiores y aún así quedaría
una anchura de banda suficiente para poder manejar un gran número de canales
simultáneamente. En los sistemas de fibra óptica pueden enviarse datos digitales
y analógicos de manera conjunta. Esto significa que los costes son menores que
con los cables de cobre, hay también menor diafonía e interferencias [3].

1.1.1 Ventajas y desventajas de la fibra óptica

De [2] se pueden mencionar como ventajas y desventajas las siguientes:


Ventajas:
 Liviandad y reducido tamaño del cable capaz de llevar un gran número de
señales. Se facilita la movilidad en áreas reducidas.
 Alta calidad de transmisión. Inmunidad a transmisiones cruzadas entre cables,
causadas por inducción magnética.
 Reducción de costos de protección contra el ruido. Inmunidad a interferencia
estática debida a las fuentes de ruido.
 Eliminación de los problemas de bucle de tierra. No es necesario tener puesta a
tierra de señales, como ocurre con alambres de cobre que quedan en contacto
con ambientes metálicos.
 Comparte una bandeja con cables de energía, aún de alta tensión o frecuencia,
o al aire con mínimas fijaciones.
 Travesía segura en zonas peligrosas. La seguridad en cuanto a la instalación y
mantenimiento, es decir, las fibras de vidrio y plástico no son conductoras de
electricidad, se pueden usar cerca de líquidos y gases volátiles. Seguridad
contra descargas eléctricas.
 Mayor confiabilidad gracias al menor número de repetidoras. En el sistema de
fibra óptica se pueden instalar tramos de hasta 70 km. Sin que halla necesidad
de recurrir a repetidores lo que también hace más económico y de fácil
mantenimiento este material.
 Menor mantenimiento. Reducción de los costos de instalación y reparación.
 Gran capacidad de transmisión debido al ancho de banda mayor disponible en
frecuencias ópticas.
 Eliminación de igualadores.
 Atenuación independiente del ancho de banda del mensaje transmitido.
 Confiabilidad y alta privacidad de la transmisión, las fibras no pierden luz, por lo
que la transmisión es segura y no puede ser perturbada.
 Niveles pequeños de potencia eléctrica en el transmisor.
 Gran abundancia en la naturaleza del material base SiO 2, por tanto, fáciles de
conseguir en el mercado.
 Interferencias pequeñas entre fibras.
 Mayor economía para enlaces mayores de 2 km y velocidades mayores a 2
Mbps.
 Compatibilidad con la tecnología digital.
 La fibra es una tecnología probada, sencilla sumamente estandarizada y de
altísima confiabilidad.

Desventajas:
• Fragilidad de las fibras.
• Dificultad de reparar un cable de fibras roto en el campo.
 Sólo puede utilizarse por las personas ubicadas en las zonas por las cuales ya
esté instalada la red de fibra óptica.
 El coste es alto en la conexión de fibra óptica, las empresas no cobran por
tiempo de utilización sino por cantidad de información transferida al
computador, que se mide en megabytes.
• Disponibilidad limitada de conectores.
 Las fibras ópticas presentan limitaciones químicas que adquieren mayor
intensidad para determinadas longitudes de onda, a los efectos de la
irradiación, determinándose que los láseres de elevada potencia pueden
motivar cierto deterioro. La irradiación conduce a modificar el color del material
transparente de las fibras, produciendo su oscurecimiento.

1.1.2 Tipos de fibra

La fibra óptica está considerada aún como una tecnología relativamente nueva
con respecto a otros medios físicos. Su ya extendida utilización, se encuentra en
plena evolución.
Según sea la forma de guiar los rayos de luz por la fibra óptica (ya sea en un cierto
número de modos o en uno solo) el tipo de fibra se denomina multimodo o
monomodo. Las características más sobresalientes están consignadas en la tabla
1.2.

Tabla 1.2 Características de los tipos de fibra óptica


Fibras multimodo
Características Índice abrupto Índice gradual Fibras monomodo
Diámetro del núcleo 100m<0<600m 50m<0<100m 8m<0<10m
Diámetro de 140m<0<1000m 25m<0<150m 125m
la cubierta
Índice del núcleo Constante Carece del centro a la Creciente o
periferia decreciente
Apertura numérica 0.30 0.20 a 0.27 Muy pequeña I=0
Banda de paso 20 a 10 MHz/Km 200 a 1200 MHz/Km >10 GHz/Km,
no significativa
Atenuación según
las ventanas
850nm 8 a 20 dB/Km
1300nm 2.5 a 4 dB/Km 0.3 a 0.5 dB/Km
1550nm 0.6 a 1.5 dB/Km 0.150 a 0.3 dB/Km

Dentro de las fibras multimodo existen dos tipos, de índice de escalón o índice
abrupto y de índice gradual. En el tipo de fibra de índice abrupto, las fibras se
componen de revestimiento de baja refracción y de un núcleo de elevado índice de
refracción, por el que se guía la luz mediante reflexión total en el límite
revestimiento-núcleo. En el caso de fibras ópticas con perfil de índice gradual la
luz se desvía continuamente hacia el eje de la fibra en las regiones externas con
índice de refracción menor.
En la fibra óptica de índice abrupto, el índice de reflexión del núcleo es
completamente distinto al índice de refracción de la cubierta. De esta forma,
durante la transmisión la luz va chocando contra las paredes de la cubierta, siendo
reflejada en cada choque hacia el núcleo. Este sistema es el más fácil de
construir, pero presenta como inconvenientes una mayor atenuación y un ancho
de banda más estrecho.
Dado que el núcleo presenta un índice de refracción constante, la velocidad de los
rayos luminosos también será constante, así a mayor espacio recorrido por dichos
rayos, mayor será el tiempo que tardarán en llegar al otro extremo de la fibra
óptica.
En este tipo de fibra los rayos luminosos se propagan por la fibra en zigzag, de ahí
que los rayos sigan recorridos diferentes, es decir que unos tardarán más tiempo
que otros en llegar al extremo opuesto de la fibra. Este es el motivo por el que
producen algunos retardos en la transmisión. Así pues, cuando se transmiten
mensajes a alta velocidad, como es el caso de la información digital, los impulsos
sufren un alargamiento tendiendo a superponerse, por lo que la información puede
distorsionarse. Este tipo de propagación de fibra se puede observar en la figura
1.3.

Figura 1.3 Propagación en una fibra óptica de índice abrupto

Otro tipo de fibra multimodo es el ya mencionado de índice gradual, en el que el


núcleo no mantiene un índice de refracción constante, sino que va aumentando
progresivamente desde el eje hacia afuera. Con esta técnica se produce una
refracción gradual a medida que los rayos van penetrando en la cubierta. Este
sistema presenta un ancho de banda mayor que el de índice abrupto y menor
atenuación.
En las fibras de índice gradual la energía luminosa se propaga de una forma
diferente a las fibras de índice abrupto. Al ir variando gradualmente el índice de
refracción a lo largo del diámetro de la fibra e ir disminuyendo hasta que en el
límite, entre el núcleo y la cubierta alcance el valor mínimo, los modos varían de
forma helicoidal. Al no existir una diferencia grande entre el índice de refracción
del núcleo y de la cubierta, la luz no choca bruscamente contra el y no viaja a
través del núcleo en zigzag, como ocurre en el índice abrupto.
Los rayos de luz que se propagan por las zonas exteriores del núcleo, tienen un
índice de refracción más bajo, por tanto viajarán a mayor velocidad, según la
expresión de la velocidad de la luz a través del medio.

Por el contrario, los rayos que viajan por el centro del núcleo, al tener un índice de
refracción mayor, se propagan a menor velocidad. De estas dos afirmaciones
deducimos que, aunque los rayos de luz viajan de distintos modos, llegarán al
mismo tiempo al extremo opuesto de la fibra ya que, a pesar que algunos rayos
recorren más camino que otros, van a mayor velocidad. Este tipo de fibra se
puede observar en la figura 1.4

Figura 1.4 Propagación en una fibra óptica de índice gradual

En el segundo tipo de fibra, denominado monomodo, el núcleo es muy delgado, de


tan sólo unas pocas micras, y a través del cual los rayos de luz siguen un único
camino, por lo tanto sólo existe un modo. Gracias a esta técnica se obtiene un
ancho de banda superior y menor atenuación. Sin embargo, al tener unas
dimensiones reducidas se dificulta la interfaz con las fuentes emisoras, debiendo
ser estas de alta calidad, motivo por el cual este sistema también resulta más
costoso. Este tipo de fibra se utiliza típicamente en comunicaciones de media y
larga distancia y en enlaces intercontinentales en los que hay una elevada
transmisión de datos, lo cual justifica una inversión más grande [4]. Este tipo de
fibra se puede observar en la figura 1.5

Figura 1.5 Propagación en una fibra óptica monomodo.

1.1.3 Sistemas de comunicación por fibra óptica

Desde 1970, los sistemas de comunicación que emplean la fibra óptica como
medio de transmisión han tenido un desarrollo considerable. Este gran auge se
debió a las bondades que representa este medio de transmisión como son las
bajas atenuaciones. Las atenuaciones introducidas por la fibra óptica para
sistemas de comunicación, están dentro del intervalo de 0.2 dB/Km a 5 dB/Km, y
las fuentes ópticas pueden acoplar niveles de luz a las fibras ópticas desde varios
microwatts a varios miliwatts, y sensibilidades típicas de los receptores ópticos
están en el intervalo de -20 dBm a -60 dBm. Los enlaces por fibra óptica hoy en
día se encuentran en aplicaciones de corta y larga distancia, tanto para enlaces
punto a punto como punto a multipunto y multipunto a multipunto.
En los sistemas de comunicaciones por fibra óptica la información viaja en forma
de rayos de luz, es decir ondas electromagnéticas guiadas; la diferencia con las
ondas electromagnéticas de radio es la frecuencia de operación. Como en los
sistemas de radiocomunicación, estos sistemas requieren de transductores para el
acondicionamiento de las señales de transmisión y recepción. En el transmisor se
requiere de un transductor de ondas luminosas a ondas de corriente y finalmente a
niveles de voltaje.

A mediados de 1980 quedó demostrado que la tecnología TDM (multiplexación de


señales en el dominio del tiempo) no sería suficiente para afrontar la demanda que
se podía predecir. El tráfico de datos necesitó entonces el despliegue de la
multiplexación de longitud de onda (WDM) en el que muchas señales pueden ser
transmitidas simultáneamente por una sola fibra, modulando señales discretas en
diferentes bandas de frecuencia [5].

1.2 WDM

Ha habido un constante empeño por desarrollar nuevas técnicas para aprovechar


en forma más eficiente y económica los medios de comunicación, los sistemas
ópticos no son la excepción y para esto se ha desarrollado Wavelength Division
Multiplex (Multiplexación en longitud de onda).

WDM consiste en la transmisión en paralelo de un número determinado de


señales ópticas a longitud de onda diferentes sobre una única fibra óptica donde
cada una de las múltiples portadoras ópticas transporta diferentes flujos de datos.
Cada portadora óptica tiene acceso al ancho de banda de la fibra con una
modulación particular que puede ser de varios GHz.

Un sistema WDM utiliza varias fuentes ópticas, cada una de ellas emitiendo a una
longitud de onda diferente en un enlace formado por una sola fibra óptica. Figura
1.6.
Figura 1.6 WDM

Los sistemas WDM se pueden utilizar en redes locales, en comunicaciones de


larga distancia (troncales), en general para aplicaciones de banda ancha, tales
como video teléfono, video conferencia, televisión, multimedia internet, audio y
otros.

1.2.1 Por qué usar WDM?

La creciente demanda de servicios de alta velocidad y gran ancho de banda, están


provocando cambios sustanciales en las arquitecturas de las redes ópticas.

Dado el evidente éxito de WDM que ha permitido el aumento de la capacidad de


las redes ópticas de transporte esta tecnología se esta expandiendo
progresivamente desde el núcleo de las redes ópticas de alta velocidad hacia las
redes metropolitanas y de acceso.
De hecho, se ha observado que la introducción de tecnología WDM en las redes
ópticas metropolitanas produce grandes beneficios en cuanto a coste, flexibilidad y
eficiencia. [6].
La disponibilidad de gran ancho de banda genera nuevas aplicaciones que
emplean más ancho de banda, generando de nuevo una necesidad de mayor
ancho de banda, lo cual conduce a una necesidad indefinida de fibra y de
servicios.
La multiplexación en longitud de onda se desarrolló a gran velocidad para cubrir
una necesidad a corto plazo, pero no sucedió lo mismo con la infraestructura
asociada. Así, el más común de los usos de la fibra sigue siendo el enlace punto a
punto.

1.2.2 Evolución de WDM

A principios de 1990, se denominó transmisión WDM en banda ancha a la


transmisión de una señal a 1550 nm y otra de retorno a 1310 nm. Más tarde, a
mitad de los 90, el desarrollo WDM permitía espaciamientos más cortos,
implementando transporte bidireccional de 2x2 y 4x4 canales a 1550 nm,
alcanzando velocidades de 2,5 Gbps en enlaces punto a punto. Finalmente, a
finales de los 90, los sistemas densos (DWDM) llegaron a ser una realidad cuando
gran número de servicios y multitud de longitudes de onda comenzaron a coexistir
en la misma fibra, llegando a enviar 32/40/64/80/96 longitudes de onda a 2,5 Gbps
y 10 Gbps. Aun así, pronto se verán los sistemas ultra-densos (UDWDM) con
transmisión de 128 y 256 longitudes de onda a 10 Gbps y 40 Gbps por canal, ya
que la infraestructura actual de fibra óptica no será suficiente para cubrir la
demanda.

Mediante el uso de DWDM, cada longitud de onda transmitida por la misma fibra
soporta un canal independiente y, consecuentemente, aumenta el ancho de banda
disponible para diferentes servicios. Por ejemplo, con tecnología estándar SONET,
1344 señales T1 se transmiten por un par de fibras. Empleando tecnología DWDM
y el mismo par de fibras, llegan a transmitir hasta 53.760 señales T1 [6].

Con todo este tipo de tecnologías apoyando al sistema DWDM, cada aplicación en
la red DWDM tendrá asociada una única longitud de onda, por lo cual, se
necesitará un tipo de fibra óptica diseñada para soportar un gran número de
longitudes de onda (canales) transmitidas a muy alta potencia. Esta fibra no
deberá variar su comportamiento a causa de fenómenos como PMD (Dispersión
por cambio de Modo de Polarización) u otros efectos no lineales.

En resultados de las investigaciones realizadas por Lucent Technologies Bell Labs


Innovation se puede ver el gran desarrollo de esta tecnología durante la última
década [7]:

Tabla 1.3 Evolución WDM


Año Número de Capacidad de Distancia
canales transmisión
1985 10 2 Gbps 63.8 km
1994 16 2.5 Gbps 1420 km
1995 17 20 Gbps 150 km
1996 132 2.64 Tbps 120 km

En teoría, el ancho de banda total disponible en una fibra monomodo es de 50


THz, mientras que es muy difícil predecir el ancho de banda necesario para cubrir
la demanda y los servicios esperados. DWDM está siendo aceptada por la
mayoría de los operadores, y seguirá siendo aceptada como la tecnología ideal de
transporte en todas sus combinaciones, tanto en tierra como en grandes tramos
troncales submarinos.

1.3 SEÑAL DE VOZ

Varias formas de comunicación no eléctricas encierran un proceso de modulación,


y la voz es un buen ejemplo. La voz humana es analógica, es decir, su
comportamiento se modela con una función continua, no presenta cambios
bruscos. La voz captada por un micrófono y llevada a un osciloscopio, no presenta
el aspecto de una señal rectangular o con pendientes muy elevadas, por el
contrario, presenta una serie de curvas complejas, en forma simplificada puede
representarse por una señal senoidal cambiante en frecuencia y amplitud. Figura
1.7.

Figura 1.7 Señal de voz

Las señales de audio perceptibles por el oído humano, tienen unas características
bien definidas. La más importante de ellas, para su transmisión es su pequeño
ancho de banda, esto debido a que el espectro de frecuencias que percibe el
humano está entre los 300 Hz y los 20 kHz [5]. El conjunto de características que
debe cumplir el canal de 64 kbps para telefonía está determinadas en ITU-T (antes
CCITT) G.712 para circuitos de 4 hilos y en ITU-T G.713 para 2 hilos. La ITU
(International Communication Union) ha definido un canal de voz en el local loop
que va de 300 Hz a 3400 Hz, conocido como canal nominal de 4 kHz.
La modulación es la modificación de la señal portadora en función de las
características de la señal moduladora, el caso que nos interesa tratar es aquel en
el que la señal moduladora es analógica (la voz) y la portadora es digital,
obteniendo así una señal modulada digital que puede ser transmitida con una
mayor calidad.
El primer paso en la codificación analógica - digital se llama PAM (modulación de
amplitud de pulso), esta es la base de PCM (modulación de código de pulso). PAM
recoge información análoga, la muestrea y genera una serie de pulsos.
En esta técnica, a la señal original se le toman una serie de muestras a intervalos
regulares de tiempo como lo muestra la figura 1.8. En un momento dado el nivel
de la señal es leído y retenido brevemente. El valor mostrado sucede solamente
de modo instantáneo a la forma actual de la onda, pero es generalizada por un
periodo todavía corto pero medible.

Figura 1.8 Modulación de amplitud de pulso

El motivo por el que PAM sea ineficaz en comunicaciones es por que aunque
traduzca la forma actual de la onda a una serie de pulsos, siguen teniendo
amplitud (todavía señal analógica y no digital). Para hacerlos digitales, se deben
de modificar usando modulación de código de pulso (PCM).
PCM (Pulse Code Modulation) modifica los pulsos creados por PAM para crear
una señal completamente digital. Para hacerlo, PCM, en primer lugar, cuantifica
los pulsos de PAM. Figura 1.9. La cuantificación es un método de asignación de
los valores íntegros a un rango específico. Luego, cada uno de esos valores finitos
será codificado, es decir, a cada muestra cuantificada se le otorga un código
concreto, convirtiendo de esta forma la señal original en una señal digital que
podrá tomar dos únicos valores, siendo transmitidos los códigos de cada muestra.
Figura 1.9 Señal cuantificada

Después, se asigna un código binario a cada valor y estos dígitos binarios son
transformados en una señal digital usando una de las técnicas de codificación
digital-digital. Figura 1.10.

Figura 1.10 PCM

Finalmente, se consigue transmitir de forma digital la señal análoga original y, por


tanto, realizar una transmisión digital. En la figura 1.11 se puede ver la
representación del proceso. PCM es el método de prueba usado para digitalizar la
voz en la transmisión de línea-T en los sistemas de telecomunicaciones en
América del Norte [8].
Actualmente, se requiere poca información para la reconstrucción de señal
analógica. En lo referente al Teorema de Nyquist, para asegurarse que la
reproducción exacta de una señal analógica original usando PAM, la tasa de
muestreo debe ser al menos el doble de la frecuencia máxima de la señal original.
De este modo, si deseamos muestrear la información de voz que tiene como
frecuencia máxima 4000 Hz, la tasa de muestreo debe ser de 8000 pruebas/s. A
una velocidad de muestreo menor, la información se perdería; a una mayor, no se
ganaría información extra.

Figura 1.11 De señal analógica a digital

La primera manifestación con carácter digital tomó lugar cuando se hizo uso de la
telegrafía con su codificación binaria. La evolución sufrida por la transmisión digital
desde entonces ha sido enorme. La telegrafía transmitía un código que era
decodificado en el receptor para componer el mensaje que se había transmitido;
actualmente, es la propia voz la que se transmite digitalmente después de haber
pasado por una serie de conversiones previa a ser transmitida.
Las principales ventajas que ofrece la comunicación digital son entre otras, una
mayor calidad en la transmisión, casi independiente de la distancia. Otra de sus
ventajas es que debido a su carácter binario es más robusta en presencia de ruido
a lo largo de la transmisión. Por tanto, la transmisión digital puede emplearse en
líneas o cables que no presenten las condiciones más favorables para la
transmisión.

La importancia que siempre ha tenido la voz en el proceso de comunicación


humana se ve, en nuestros días, incrementada por el rápido avance tecnológico.
La enorme cantidad de posibilidades que ofrece la tecnología digital, basada en el
desarrollo de microprocesadores cada vez más potentes, hace que las
aplicaciones de señales de voz se multipliquen. Entre estas aplicaciones, han
permitido disponer de un conjunto de servicios que hasta hace algunos años eran
impensables. Redes de integración de voz y datos, diálogo hombre-máquina,
síntesis a partir de texto, identificación/verificación de locutores, son algunos
ejemplos de los logros alcanzados.

Gracias a la digitalización de la voz se pueden alcanzar mejoras y aplicaciones


prácticas tales como:
a) Mejorar las comunicaciones frente al ruido e interferencias.
b) Almacenar la voz, procesarla y tratarla exactamente como cualquier otro tipo de
información digital, por ejemplo la introducida manualmente por el teclado de un
terminal.
c) Permite la robotización o control sin manos, de equipos, vehículos, ascensores,
etc., con lo que los mandos, botones pulsadores, etc. irán desapareciendo y
quedarán sustituidos por un micrófono.

Una aplicación práctica del desempeño de este módulo de transmisión de voz


puede ser por ejemplo que sirva como sistema multiplexado para apoyo de una
red de citofonía para una unidad residencial.
2. ARQUITECTURA HARDWARE DEL SISTEMA

2.1 DESCRIPCIÓN GENERAL

Para este sistema didáctico de transmisión punto a punto en modo de operación


simplex de dos canales de voz usando WDM. Se han utilizado las longitudes de
onda 850 nm y 1300 nm. En la figura 2.1 se muestra un diagrama en bloques del
sistema.

Figura 2.1 Diagrama en bloques del sistema

Adecuación Recuperación
de Señal de la voz

Filtro LED Filtro


MIC PIC Detector Alta
300Hz CAG Offset 850nm PIC D/A 4kHz
850nm voz
4kHz

Adecuación Adecuación
de Señal de Señal

Filtro LED Filtro


MIC 300Hz PIC Detector Alta
CAG Offset 1300nm 1300nm PIC D/A 4khz
4kHz voz

2.2 CAPTURA DE LA SEÑAL DE VOZ

La captura de la señal de voz del usuario se hace por medio de un micrófono


monofónico. El micrófono es por excelencia un transductor de entrada, el cual a
través de una lámina muy delgada ubicada en un campo eléctrico, capta las ondas
sonoras del ambiente circundante, en este caso la voz emitida por el usuario, las
transforma en variaciones de tensión eléctrica y las envía a la etapa de
amplificación.
El funcionamiento de un micrófono se basa en el desplazamiento espacial
producido por una bobina dentro de un campo magnético. Hay un diafragma que
se desplaza con la fuerza mecánica provocada por las ondas sonoras, este
desplazamiento se transmite a la ferrita de la bobina. La fuerza electromotriz
generada en la bobina es proporcional a la inducción de campo, al número de
espiras, a la longitud de espiras, y al desplazamiento relativo de la bobina. Para
una explicación detallada del funcionamiento de un micrófono se puede consultar
[9]. El circuito implementado, para el micrófono se muestra en la figura 2.2

Figura 2.2 Interfaz de entrada para usuario

2.3 ADECUACIÓN DE LA SEÑAL DE VOZ

Para un correcto tratamiento de la señal se debe asegurar que las señales


cumplen con ciertos parámetros. Inicialmente se debe limitar la señal de entrada al
ancho de banda preestablecido, se debe garantizar también la correcta recepción
por parte del microcontrolador de estas señales, mantener el voltaje de entrada al
microcontrolador a niveles adecuados, y proteger al microcontrolador de niveles
de voltaje negativos o niveles mayores a los permitidos.
La importancia de esta etapa se debe a que permite discriminar entre las señales
que se desea tener en cuenta y las que no son de interés.

2.3.1 Filtro de entrada

Los filtros activos son circuitos compuestos por resistencias, condensadores y


amplificadores operacionales, cuya finalidad es dejar pasar a través de ellos las
frecuencias para las que han sido diseñados, eliminando por tanto el resto de las
frecuencias que no interesan. Esto se consigue atenuando o incluso llegando a
anular aquellas cuya frecuencia no está en el margen de frecuencias admisible
[10].

Dadas las características de la señal de voz es necesario implementar un filtro,


que limitara la señal de 300 Hz a 3400 Hz, siendo entonces nuestro ancho de
banda de interés de 3100 Hz.

En el proyecto se implementó un filtro con configuración en cascada, es decir,


inicialmente un filtro pasa alto con frecuencia de corte a 300 Hz y su salida se
conecta con la entrada de un filtro pasa bajo con frecuencia de corte de 3400 Hz.
Este par de filtros activos conectados en cascada forman un filtro pasa banda. El
diseño de estos dos filtros se hizo en Filter 20 el cual es un software libre de
Power Mountain Software System [11]. Figura 2.3.
Figura 2.3 Respuesta del filtro pasa banda.

Se seleccionó un filtro de segundo orden con el modelo de aproximación de


Butterworth o también llamado filtro de respuesta plana máxima. Su principal
característica es que la atenuación en la mayor parte de la banda pasante es cero,
y mas allá, el voltaje de la salida disminuye a razón de 40 dB por década (esta
razón se debe a que los filtros tienen dos polos).

2.3.1.1 Filtro pasa alto

Este filtro se usa para eliminar las frecuencias desde cero hasta la frecuencia de
corte inferior (300 Hz) y permite el paso de todas las frecuencias por encima de
esta frecuencia. Las frecuencias entre cero y la frecuencia de corte conforman la
banda eliminada. Las frecuencias por encima de la de corte conforman la banda
pasante [12]. El filtro implementado se muestra en la figura 2.4.
Figura 2.4 Filtro pasa alto implementado

La frecuencia de corte seleccionada es de 300 Hz suficiente para la transmisión de


voz, siendo esta frecuencia acorde con las razones mencionadas en la sección
1.3.

Figura 2.5 Respuesta del filtro paso alto implementado


La métrica seleccionada para encontrar el ancho de banda del filtro implementado
es evaluar a que frecuencia la señal senoidal entregada por un generador de señal
cae al 70% de su valor, es decir, a –3 dB. Teniendo en cuenta lo anterior, el ancho
de banda efectivo de la señal es de 346 Hz, como se puede observar en la figura
2.5. El comportamiento experimental de este filtro se detalla en el capítulo 4.

2.3.1.2 Filtro pasa bajo

Este filtro deja pasar todas las frecuencias desde cero hasta la frecuencia de corte
(3400 Hz) y bloquea todas las frecuencias por encima de la misma. La frecuencia
entre cero y la frecuencia de corte conforman la banda pasante. Las frecuencias
por encima de la frecuencia de corte conforman la banda eliminada.

Figura 2.6 Filtro pasa bajo implementado

Un filtro pasa bajos ideal no produce desfase en todas las frecuencias de la banda
pasante. La ausencia de desfase es importante cuando la señal de entrada no es
senoidal. Cuando un filtro tiene desfase cero, se mantiene la forma de una señal
no senoidal cuando esta lo atraviesa [12]. En los filtros prácticos se presenta
desfase, pero este desfase no es perjudicial para la señal no senoidal si se
mantiene lineal sobre la banda pasante. En el caso del filtro pasa bajo
implementado en el proyecto, el desfase crece de forma lineal por lo que la señal
no se ve afectada. El comportamiento experimental de este filtro se detalla en el
capítulo 4.
La frecuencia de corte para el filtro pasa bajo debe ser de 3400 Hz, con esto se
evita el paso de frecuencias muy bajas, innecesarias para obtener una señal de
voz inteligible, aprovechando aún mas el ancho de banda y de acuerdo al canal
nominal establecido por la ITU.

Figura 2.7 Respuesta del filtro pasa bajo implementado

La métrica seleccionada para encontrar el ancho de banda del filtro implementado


es evaluar a que frecuencia la señal senoidal entregada por un generador de señal
cae al 70% de su valor, es decir, a –3 dB. Teniendo en cuenta lo anterior, el ancho
de banda efectivo de la señal es de 3.348 kHz, como se puede observar en la
figura 2.7. El comportamiento experimental de este filtro se detalla en el capítulo 4.
2.3.2 Control automático de ganancia

En muchas aplicaciones, como en radio y en televisión, se requiere que la


ganancia de tensión cambie automáticamente cuando la señal de entrada cambie.
Concretamente, cuando la señal de entrada se incrementa, se quiere que la
ganancia de tensión disminuya. De esta manera, la tensión de salida será más o
menos constante en su valor de Vpp.

Figura 2.8 Configuración interna y distribución de pines del SA575

Para este trabajo de grado fue necesario implementar esta etapa dadas las
diferentes características de las señales de voz de distintos locutores. Cuando
una persona hable muy suave o cuando la persona hable muy fuerte, el sistema
deberá ser capaz de adaptar los niveles de voltaje a los requeridos por la siguiente
etapa.
El SA575 es un circuito de control de ganancia dual de precisión diseñado para
aplicaciones de bajo voltaje. Su rango de frecuencia de operación es de 20 Hz
hasta 20 kHz. El canal 1 del SA575 es un expansor mientras que el canal 2 puede
ser configurado como expansor, compresor o control automático de ganancia.
Figura 2.8.

Básicamente el efecto que produce cualquier cambio repentino en la señal de


entrada es compensado, reducido o amplificado por la acción del CAG.

Una sencilla configuración exterior permite tener los parámetros deseados en


cuanto a ganancia ALC gain, que está dado por (1):
3.8k 100A
ALCgain  (1)
4VIN (avg)
y su representación gráfica se puede ver en la figura 2.9, la cual es una función
impar en la que se puede ver un comportamiento inicial creciente lineal para
entradas de voltaje muy pequeñas y decae exponencialmente cuando este valor
de voltaje de entrada comienza a crecer.

Figura 2.9 Relación ALCgain vs. voltaje de entrada en el CAG

Relación ALCgain vs voltaje de entrada

20
15
10
ALCgain

5
0
00 -100 -5 0 100 2
-10
-15
-20
-25
La configuración externa del controlador automático de ganancia se muestra en la
figura 2.10.

Figura 2.10 Configuración externa control automático de ganancia

La hoja de datos del controlador automático de ganancia se encuentra en el anexo


A.
2.3.3 Adecuación de voltajes entregados al microcontrolador

La señal que proviene de la etapa de control automático de ganancia presenta


valores de voltaje negativos debido a que se han usado amplificadores con
alimentación dual. Esta característica no es compatible con las especificaciones
del microcontrolador seleccionado, por tal motivo, en la última etapa de la
adecuación de señal se utiliza un elevador de offset.

Figura 2.11 Circuito offset implementado

Para esto se ha utilizado un amplificador en configuración de sumador, que


adiciona a la señal resultante de las etapas anteriores un valor en DC de 0.86 V
empleando para esto un divisor de tensión. Esto permite obtener una señal entre
0 V y 5 V con una referencia en 0.86 V. El circuito implementado se ilustra en la
figura 2.11.

2.4 PARÁMETROS DE ELECCIÓN DEL MICROCONTROLADOR

Para la multiplexación en el tiempo se requiere de un microprocesador que cumpla


con un conjunto de requisitos tales como velocidad, número de puertos, capacidad
de memoria, memoria EPROM, tipo de transmisión, los cuales no son muy
exigentes pero si necesarios para el desarrollo de este proyecto. Un diagrama de
bloque de la arquitectura del microcontrolador se encuentra en la figura 2.12.

A continuación se enumeran y se da una breve explicación de porqué son


necesarios y en la siguiente sección se describe el microcontrolador seleccionado.

Figura 2.12 Arquitectura simplificada del microcontrolador

Direccionamiento
Dirección Indirecto
PC
MEMORIA FSR
DE CODIGO MPX Dirección
(FLASH)
Direccionamiento MEMORIA
PILA Directo DE DATOS
(SRAM)

Instrucción

REGISTRO DE 8 Datos
INSTRUCCIONES Periféricos

8 8
PUERTAS E/S

TIMERS
DECODIFICADOR DE MPX
INSTRUCCIONES EEPROM
RECURSOS 8 8
INTERNOS CCP 1-2

RELOJ CA/D
ALU
USART
8 ESTADO
PUERTA SERIE
SÍNCRONA
PUERTAS PARALELO
ESCLAVA

Los parámetros que se han tenido en cuenta para la elección del microcontrolador
son los siguientes:

 Conversor análogo-digital:
Se requiere que el microcontrolador convierta la señal analógica proveniente de la
etapa de entrada en una señal digital que pueda ser interpretada por el circuito
receptor y ser procesada con una resolución de mínimo 8 bits. Por tanto es
necesario para la codificación de la señal el uso de conversores análogos-
digitales. Se necesita un microcontrolador capaz de muestrear por lo menos dos
canales.
 Conversor digital-análogo:
Para la recuperación de la señal análoga es necesario el uso de conversores
digital-análogo, aunque este es un parámetro importante se decidió hacer uso de
estos dispositivos compatibles con el microcontrolador pero de forma externa.
Estudiando otros microcontroladores con esta capacidad se consideró que hacer
uso de este dispositivo externamente no afecta negativamente el desempeño del
sistema y reduce costos.

 Transmisión Serial:
Este es uno de los parámetros más significativos en la elección del
microcontrolador. Es necesario que el microcontrolador esté en capacidad de
transmitir datos de manera serial por alguno de sus puertos de salida, permitiendo
así hacer una transmisión asíncrona de una trama de datos.
Para transmisión serial los bits que comprenden un caracter son transmitidos
secuencialmente sobre una línea; mientras que en la transmisión en paralelo los
bits que representan el caracter son transmitidos serialmente. Si el caracter
consiste de ocho bits, entonces la transmisión en paralelo requerirá de un mínimo
de ocho líneas. La transmisión en paralelo no se usa en transmisiones dedicadas
por el costo que implica el uso de circuitos adicionales.

 Velocidad:
Para que el número y calidad de muestras de las señales de voz fueran
ampliamente satisfactorios era necesario escoger un microcontrolador con una
velocidad de operación adecuada. Basados en la frecuencia máxima del filtro, se
obtiene que la trama de 33 bits se debe enviar cada 125 s lo que da como
resultado una rata de 264 kbps, velocidad suficiente para la conversión análoga-
digital.
 Puertos de entrada:
Dado que las señales análogas deben ser procesadas por el microcontrolador es
necesario que este tenga la capacidad de capturar las señales por medio de
alguno de sus puertos de entrada. Para el presente trabajo de grado sólo es
necesario un puerto de este tipo.

 Puertos de Salida:
Además de los puertos necesarios para la transmisión de datos, es importante que
el microcontrolador tenga la capacidad de mostrar los datos digitales
correspondientes a cada canal muestreado a través de 8 bits, por medio de sus
puertos de salida.

 Reprogramación:
Debido a que se hace necesario hacer un número significativo de pruebas
preliminares de los códigos programados en el microcontroladores se requiere que
cuente con esta característica.

 Memoria:
Ya que no es necesario almacenar datos en memoria para la aplicación propia de
este trabajo la capacidad de memoria no fue aspecto relevante en la elección.

2.5 CARACTERÍSTICAS DEL PIC16F873

El microcontrolador escogido fue el PIC16F873 fabricado por Microchip, por que


sus características cumplen con las exigencias del proyecto. La distribución de
pines se puede observar en la figura 2.13. A continuación se enumeran las
características más sobresalientes:
 Conversor Análogo-Digital:
El PIC16F873 posee un conversor análogo digital de ocho bits de resolución y
cinco canales de entrada. Los cinco canales de entrada están soportados por las
cinco líneas multifunción del puerto A, la configuración de dichas líneas debe estar
de acuerdo con la función que se desea que realicen. Este parámetro es suficiente
para las necesidades de este proyecto de grado y para futuras implementaciones
con este modulo, como se verá en las prácticas de laboratorio sugeridas en el
capitulo 5.

Figura 2.13 Distribución de pines del microcontrolador PIC16F873

 Modulo de transmisión serie asíncrono:


Cuenta con un protocolo asíncrono del USART que puede funcionar como un
sistema de comunicación en modo de operación simplex asíncrono. Se usa la
norma RS-232-C, donde cada palabra de información o dato se envía
independientemente de los demás. Los bits se transfieren a una frecuencia fija y
normalizada. En el modo asíncrono las transferencias de información se realizan
sobre una sola línea, saliendo al ritmo de una frecuencia controlada.
La arquitectura del USART, en modo asíncrono está constituido por cuatro
bloques que son:
1. Circuito de muestreo
2. Generador de baudios
3. Transmisor asíncrono
4. Receptor asíncrono

 Velocidad de operación:
En las especificaciones del microcontrolador con una entrada de reloj de 20 MHz,
cada ciclo de instrucción se ejecuta en 200 nseg. Este microcontrolador debe ser
capaz de trasmitir por lo menos para esta aplicación 264 kbps, generados de la
siguiente forma:

Una trama consiste en 3*8 = 24 bits, más 3*3=9 bits extra para control, lo que da
33 bits cada 125 µseg esto produce una tasa de transmisión de datos aproximada
de 264kbps. (Figura 2.15).

 Puertos de entrada / salida:


Dispone de tres puertos de entrada / salida A, B, C. Todas las líneas de estos
puertos son multifuncionales, es decir, realizan funciones según estén
programadas. Sin embargo, todas ellas tienen la capacidad de trabajar como
líneas de E/S digitales de 8 bits.

 Memoria:
La memoria programable FLASH tiene capacidad de 8 K x 14 palabras. La
memoria de datos RAM tiene 368 x 8 bytes. La memoria EEPROM tiene 256 x 8
bytes. Los datos más característicos del microcontrolador PIC 16F873 se
encuentran consignados en la tabla 2.1.

 Instrucciones:
Para la programación del PIC16F873 son necesarias solo 35 palabras de
instrucción lo que facilita su manejo, con una curva de aprendizaje corta.
Tabla 2.1 Datos característicos PIC 16F873
Frecuencia de operación DC – 20 MHz

Memoria Flash 4K

Memoria de datos (bytes) 128

Interrupciones 13

Puertos E/S Puertos A,B,C

Comunicación serial MSSP, USART

8-bit modulo análogo – digital 5 canales de entrada

Instrucciones 35

La hoja de datos del PIC 16F873 se encuentra en el anexo B.

2.6 MULTIPLEXACIÓN EN EL TIEMPO

Multiplexación es la transmisión simultánea de varios canales de información


separados en el mismo medio de comunicación sin interferirse entre sí. Este
método se usa para señales digitales o analógicas que previamente se hayan
digitalizado.

Para la comunicación de voz, esto significa dos o más canales de voz en un


mismo medio de transmisión simultáneamente. Consiste en transmitir varias
señales por un mismo canal físico, mezclando a distintos intervalos de tiempo
(time slot), distintas partes o porciones de la señal. La transmisión simultánea
puede llevarse a cabo por división de tiempo, por división de frecuencia o por otros
medios como CDMA [13]. Figura 2.14.
Figura 2.14 Representación TDM

La multiplexación por división de tiempo (TDM) consiste en transmitir dos o más


canales de información en el mismo circuito de comunicación utilizando la técnica
de tiempo compartido en donde la velocidad de transmisión por el medio excede la
velocidad de las señales digitales a transmitir.

TDM se adapta bien a las señales binarias que consisten en impulsos que
representan un dígito binario 1 o 0. Estos impulsos pueden ser de muy corta
duración y sin embargo, son capaces de transportar la información deseada. La
señal original puede ser una onda analógica que se convierte en forma binaria
para su transmisión, como las señales de voz de una red telefónica, o puede estar
ya en forma digital, como los de un equipo de datos o un ordenador.

Una de las principales funciones de este sistema didáctico es enviar y recibir dos
canales de voz, para esto se multiplexan en longitud de onda las tramas de
transmisión correspondientes a dos canales de voz previamente multiplexados en
tiempo. En consecuencia se toman muestras tanto del primer canal como del
segundo de manera intercalada formando así una trama. El formato de la trama
utilizada está compuesta de: un byte de control, los datos correspondientes al
primer canal de voz y los datos correspondientes al segundo canal, ver figura 2.15.

Figura 2.15 Conformación de la trama de transmisión

2.6.1 Funciones del microcontrolador en la multiplexación en el


tiempo

El programa en el microcontrolador se encarga de tomar las muestras de los


canales en un intervalo de tiempo previamente establecido para cada uno y crear
la trama con los datos digitales producto de la conversión de la señal de voz,
adicionando la información de control. Aquí se realiza la multiplexación en el
tiempo de las dos señales de voz.
La forma como realiza esta tarea es la siguiente:
1. Define el byte de control con el número de canales a transmitir.
2. Habilitar el canal de entrada análogo correspondiente a la primera señal.
3. Transmitir el byte de control con información del número de canales.
4. Habilitar el segundo canal para la conversión del la segunda señal análoga.
5. Transmitir el primer byte con el primer dato digital correspondiente a la
señal de voz.
6. Define el byte de control con el número de canales a transmitir.
7. Transmitir el byte con el segundo dato digital correspondiente a la segunda
señal de voz.
8. El proceso continúa de forma cíclica.

Por medio de la programación en lenguaje ensamblador de los registros


correspondientes al modulo de transmisión del microcontrolador, se establece una
velocidad de transferencia de 264 kbps. Se programan los registros SPBRG para
alta velocidad y el bit BRGH (generador de frecuencia de baudios) del registro
TXSTA. La ecuación que ayuda a determinar la frecuencia en baudios a la que
trabaja el microcontrolador es (2):

Fosc
FrecuanciaBaudios 
K ( X  1)

K  16altavelocidad (2)
X  129
donde X es el valor cargado en el registro SPBRG, y Fosc es la frecuencia del
cristal empleado (20 MHz).
La frecuencia en baudios del generador depende del bit BRGH del registro TXSTA
<2>. En este caso el bit BRGH es 1, es decir, se trabaja en alta velocidad. Según
este bit se obtiene el valor de la constante K necesaria en la determinación de la
frecuencia de funcionamiento.

Para la programación del conversor análogo digital se hace uso de los registros
ADCON0 y ADCON1. El tiempo de conversión por bit se calcula según la
programación de ADCSI y ADCSO del registro ADCON0, donde ADCS1:0 están
configurados 1:0, esto significa que la frecuencia de funcionamiento del
microcontrolador es de 1.6 µs (tiempo de conversión por bit) según la tabla de
valores de tiempo de conversión que toma el microcontrolador. Tabla 2.2
Tabla 2.2 Tiempos de conversión del microcontrolador
Selección TAD Frecuencia de
trabajo
TAD ADCS1:ADCS0 20 MHz

2 x TOSC 00 100 ηs

8 x TOSC 01 400 ηs

32 x TOSC 10 1,6 s

RC 11 2-6 s

Lo que limita entonces el proceso de muestreo de datos es el tiempo de


transmisión debido a que el programa solo convierte cuando existe una
interrupción por transmisión, este tiempo es suficiente ya que cumple con la teoría
de muestreo anteriormente expuesta.

2.7 CONVERSOR ELECTRO ÓPTICO

Los circuitos de excitación para las fuentes de luz tienen como función convertir el
voltaje de la señal a transmitir en una corriente modulada con un valor pico
adecuado a las características normales de operación de la fuente óptica. Cada
uno tiene características particulares, la selección de estos depende del tipo de
sistema (análogo o digital) y del tipo de fuente de luz (LED o LD) [6].
Entre las diferentes fuentes ópticas que existen, los diodos láser (LD) y los diodos
emisores de luz (LED) son los únicos que satisfacen todos los requerimientos
exigidos por los sistemas de telecomunicaciones.
En este caso se hizo uso de diodos LED porque el LED con respecto al LD tiene
mayor estabilidad térmica, mayor tiempo de vida, es más lineal, es menor
susceptible a transitorios, es más robusto, más económico y requiere un circuito
de excitación simple. Además, su emisión óptica es incoherente, por lo que puede
acoplarse eficientemente a fibras multimodo.

Ya que es importante mantener los niveles de corriente de excitación por encima


del codo de activación de LED, fue necesario implementar un circuito modulador
de corriente entre una corriente nula y un valor máximo, para cada una de las
longitudes de onda que maneja cada LED (850 nm y 1300 nm). Estos emisores
transforman los impulsos eléctricos en luz pasando de una corriente de electrones
a un flujo de fotones.

Figura 2.16 Circuito electro óptico implementado

El circuito de excitación debe hacer que la salida se conecte y desconecte a una


velocidad igual o proporcional a las señales de entrada.
El circuito que se observa en la figura 2.16 es muy sencillo pero de vital
importancia para el buen desempeño de la fuente de luz. Dependiendo de la señal
presente en la base del transistor se presenta o no corriente, y el valor de la
resistencia de salida determina la máxima corriente adecuada para la activación
del diodo óptico.
2.8 DIODOS LED COMO FUENTES ÓPTICAS

Los diodos emisores de luz (LED) son fuentes de luz con emisión no coherente
(espontánea); son diodos semiconductores p-n que para emitir luz se polarizan
directamente [6]. Esta luz con emisión espontánea, es radiada en todas
direcciones, por lo que un porcentaje pequeño se acopla a la fibra óptica,
normalmente del tipo multimodo.
El acoplamiento entre el LED y la fibra óptica puede ser directo, es decir,
colocando la fibra en la proximidad a la zona de emisión.

Existen dos tipos de LEDs de emisión de luz, uno a través de la superficie de la


zona activa y el otro de emisión a través de la sección transversal. La
representación de un LED de superficie se presenta en la figura 2.17 y la de
sección transversal en la figura 2.18.

Figura 2.17 Estructura de un LED de superficie

Fibra óptica

Epoxy

Contacto Metálico

Área Activa Heterounion

S1 02 S1 02
Contacto Metálico
de Forma Circular
Figura 2.18 Estructura de un LED transversal

Capa Aislante
Capas que forman
la guía óptica
Substrato
Capa Metálica

Disipador Térmico

La energía luminosa emitida por un LED es proporcional al nivel de corriente de


polarización del diodo. Por tanto, si el nivel de corriente de polarización varía de
acuerdo a una señal útil, la potencia emitida por el LED será proporcional a la
amplitud de la señal.
Una representación de la característica potencia óptica-corriente se presenta en la
figura 2.19.

Figura 2.19 Potencia óptica-corriente de polarización

1.2
Potencia optica m W

0.8
0.6
0.4
0.2

2.8.1 Características de la fuente seleccionada


En los sistemas de transmisión por fibra óptica de distancias cortas sin
repetidores, los LEDs son las fuentes ópticas preferidas, debido a que presentan
mayor linealidad que los diodos láser y su distorsión armónica es aceptable. Su
circuito de excitación es simple, dado que no requiere compensación ni etapa de
protección.
El LED es un emisor de baja potencia y precio relativamente económico que se
utiliza para cortas y medias distancias. En general, se utiliza en primera ventana
(850 nm) y segunda ventana (1300 nm) en fibras multimodo.
En los sistemas de transmisión digital por fibra óptica, el circuito de excitación
trasforma los niveles lógicos disponibles en su entrada a niveles de corriente
adecuados para excitar a la fuente óptica [6].

En este trabajo, dado que la distancia es corta (4 metros), es apropiado para


trabajar con fibra óptica multimodo y otras de las características ya mencionadas
en este trabajo, se escogió usar un diodo LED. Los LEDs son dispositivos muy
robustos y no requieren circuitería de protección lo cual redunda en simplicidad y
bajo costo de los transmisores.

El LED de 850 nm empleado es fabricado AMP, referencia 269110-1. Figura 2.20


La hoja de datos de este LED se puede ver en el anexo C.

Figura 2.20 LED de 850 nm utilizado

El otro LED tiene una longitud de onda de 1300 nm fabricado por FOMETRIC,
referencia S320. Figura 2.21.
La hoja de datos de este LED se puede ver en el anexo D.

Figura 2.21 LED de 1300 nm utilizado

Algunas de las características más generales de los LEDs se pueden ver en la


tabla 2.3:
Tabla 2.3 Características de los LEDs
Rata de datos 125 Mbps
Tamaño 50 / 62.5 / 125
Distancia máx 4000 m
Conector ST

Estos LEDs sirven como fuentes de alimentación para los dispositivos WDM. La
elección de estas dos longitudes de onda se realizó básicamente dada su
popularidad comercial y su bajo costo.

2.9 ACOPLADOR WDM

La técnica de multiplexación WDM permite aumentar de una forma económica la


capacidad de transporte de las redes ópticas existentes. Por medio de
multiplexores y demultiplexores, los sistemas WDM combinan multitud de canales
ópticos sobre una misma fibra, de tal modo que pueden ser amplificados y
transmitidos simultáneamente.
Un acoplador WDM es un dispositivo que distribuye luz desde una fibra principal
hacia una o más ramas de fibra. Este caso es el más común y por lo tanto dichos
dispositivos son conocidos como acopladores de fibra multipuerto.

La figura 2.22 muestra la configuración WDM del sistema.

Figura 2.22 Configuración WDM

Los acopladores de fibra óptica son frecuentemente dispositivos pasivos, en los


cuales la transferencia de potencia se efectúa usando una forma de proyección
óptica entre las fibras en sus extremos o a través de la superficie de la fibra.
Algunas tipos de acopladores de fibra óptica se representan en la figura 2.23.

Los acopladores de fibra multipuerto pueden clasificarse en tres grupos:


1. Acopladores de 2 o más puertos, los cuales son usados para división,
distribución y combinación de señales.
2. Acopladores de estrella los cuales son generalmente usados para distribuir
una sola señal de entrada a múltiples salidas.
3. Dispositivos para multiplexación de longitud de onda, que permiten un
número de diferentes longitudes de onda de señales ópticas para ser transmitidas
en paralelo en una sola fibra. Estos dispositivos WDM combinan las diferentes
longitudes de onda de las señales ópticas sobre la fibra (multiplexación) o separan
las diferentes longitudes de onda de las señales ópticas fuera de la fibra
(demultiplexación).

Figura 2.23 Clases de acopladores

Divisor

Combinador

Acoplador

λ1+ λ2 Mux longitud


de onda

Demux longitud
λ1+ λ2 de onda

Divisor: divide la señal entrante en sus dos puertos de salida, sin ninguna clase de
filtrado.
Combinador: combina las dos señales entrantes en una única señal en el puerto
de salida.
Acoplador: unión de un dispositivo combinador y un dispositivo divisor.
Multiplexor en longitud de onda: multiplexa las dos señales de los puertos de
entrada en un solo puerto de salida.
Demultiplexor en longitud de onda: demultiplexa las señales provenientres de un
puerto de entrada en las dos de salida independientes, es decir, las señales salen
filtradas cada una por el puerto correspondiente.

Los parámetros ópticos importantes asociados a los acopladores WDM son la


atenuación de la luz sobre una longitud de onda particular, el aislamiento
interbanda y la longitud de onda de la banda o separación de canal. Idealmente el
dispositivo debe tener una baja pérdida de transmisión por cada longitud de onda
dando una baja pérdida por inserción. Además el dispositivo debería presentar un
gran aislamiento interbanda minimizando así la diafonía. Sin embargo en la
práctica un amplio aislamiento intercanal es sólo requerido en el receptor
(demultiplexor). Finalmente el canal de separación debería ser tan pequeño como
sea permitido por la fuente de luz y las consideraciones de diafonía. Dicha
separación de canales depende del tipo de fuente óptica, con los LED se tiene una
separación de 400 nm.

Numerosas técnicas han sido desarrolladas para la implementación de


acopladores WDM. Los dispositivos pasivos, sin embargo, pueden ser clasificados
en tres grandes categorías, dos de las cuales son tipos de interacción de núcleos:
como, dispersión angular (usualmente rejilla de difracción) y filtro, mientras el otro
es un tipo de interacción de superficie el cual puede ser empleado con fibras
monomodo como acoplador direccional. Cualquier otra implementación tiende a
ser combinación híbrida de los dos tipos de interacción de núcleos.

Aunque un prisma se puede utilizar como un elemento dispersor angular para


facilitar la multiplexación y demultiplexación de longitud de onda, el principal
elemento de dispersión angular usado en este contexto es la rejilla de difracción
(arreglo que es equivalente al número de aberturas paralelas equidistantes del
mismo ancho). Una rejilla de difracción refleja luz en direcciones específicas de
acuerdo a la constante del número de líneas por unidad de longitud, el ángulo al
cual la luz esta incidiendo sobre la rejilla y la longitud de onda óptica [14].

Los acopladores ideales de fibra deben distribuir la luz entre las ramas de las
fibras sin pérdidas o generación de ruido, y deberían funcionar sin sensitividad a
factores como la distribución de luz entre los modos de la fibra y al estado de
polarización de luz. Desafortunadamente, los acopladores pasivos de fibra no
exhiben en la práctica todas las características mencionadas por lo tanto las
características de los dispositivos afectan el desempeño de las redes de fibra
óptica. En concreto las pérdidas por dispersión del acoplador limitan el número de
terminales que pueden ser conectados, mientras que la generación de ruido y los
efectos modales pueden causar problemas en el desempeño de la red. Por lo
tanto los acopladores en una red no se pueden tratar usualmente como
componentes individuales con parámetros conocidos, este es un factor el cual
necesita ser tratado con cierto compromiso en su aplicación. Así que una
selección de los tipos de acoplador de fibra más comunes se debe hacer basada
en la relación de los mecanismos del acoplador, su funcionamiento y limitaciones.

2.9.1 Características del acoplador seleccionado

El multiplexor seleccionado es fabricado por AMCOMM con referencia MMC-


6F50S-12-M-83-STP-1. Figura 2.24. Sus principales características son:

 Tamaño de la fibra: 62.5/125 µm. Se escogió así por el tipo de fibra


seleccionada, fibra multimodo de dicho tamaño.

 Ancho de banda de operación: 850 nm y 1310 nm. Acorde con las dos
longitudes de onda a utilizar.
 Relación de división (split ratio): 50/50. Referente a la porción de la potencia
de la señal dividida en los puertos de salida. Dado que se requiere que las dos
señales tengan la misma proporción, en cada fibra se escogió este factor.

 Máximas pérdidas de inserción: 3.7 dB. Este es un parámetro importante en


los acopladores, pero dado que en esta aplicación este parámetro no es muy
exigente se pudo tomar como el fabricante lo ofreció.

 Presentación (package): Médium Duty 900µm Tubing. Este parámetro no


es muy significativo en esta aplicación, por tanto se escoge un dispositivo pequeño
pero a la vez resistente.

Figura 2.24 Dispositivo WDM utilizado

Este dispositivo es capaz de multipexar la señal en una sola longitud de onda pero
no puede hacer la operación contraria de demultiplexación. En cada uno de los
puertos de salida se puede ver una combinación de las señales emitidas con su
potencia dividida en dos. Para usar este dispositivo en el circuito receptor sería
necesario usar filtros ópticos adicionales correspondientes a cada una de las
longitudes de onda para cada uno de los puertos de salida. La figura 2.25 muestra
la configuración de este dispositivo multiplexor.
Figura 2.25. Configuración dispositivo multiplexor
La hoja de datos del dispositivo WDM multiplexor se puede ver en el anexo E.

El demultiplexor seleccionado es fabricado por APAOPTICS y tiene como


referencia APA MMF SDM. Se puede ver en la figura 2.26. Sus principales
características son:
 Tamaño de la fibra: 62.5 /125 m
 Ancho de banda de operación: 850 nm (para la señal reflectada)
1300 nm (para la señal que deja pasar)
 Presentación: medium duty 900 m tubing.

Figura 2.26 Demultiplexor seleccionado

Este dispositivo es capaz de separar la señal que le entrega el multiplexor ya que


deja pasar sólo una longitud de onda y la otra es reflectada y enviada hacia el otro
puerto. Por lo anterior se puede afirmar que este dispositivo puede demultiplexar
en longitud de onda señales emitidas por sus puertos. La figura 2.27 muestra la
configuración del dispositivo demultiplexor.
Figura 2.27. Configuración dispositivo demultiplexor

La hoja de datos del dispositivo WDM demultiplexor se puede ver en el anexo E.

2.10 CARACTERÍSTICAS DE LA FIBRA SELECCIONADA

El tipo de fibra seleccionada fue fibra multimodo de índice gradual porque esta
proporciona una comunicación fiable y rápida entre los puntos de interés y sobre
todo porque brinda facilidades de acceso por su popularidad y economía.

En las fibras multimodo de índice gradual (en el que el núcleo no mantiene un


índice de refracción constante, sino que va aumentado progresivamente desde el
eje hacia fuera) se ha reducido la dispersión multimodal con respecto a la
dispersión sufrida por el pulso de luz cuando se propaga a lo largo de la fibra
óptica de índice abrupto, por lo tanto, si se tiene un enlace de una determinada
longitud, la fibra multimodo de índice gradual puede llevar información a mayor
velocidad que las fibra multimodo de índice abrupto. Por esta razón principalmente
se escogió este tipo de fibra multimodo.
Las fibras ópticas tienen diferentes dimensiones. La ITU ha establecido
recomendaciones sobre medidas del núcleo y cubierta [6]. La medida del núcleo
del la fibra seleccionada fue de 62.5 µm y la cubierta de 125 µm. El tipo de
conector seleccionado para la fibra fue un ST (Standard type) por su disponibilidad
y su compatibilidad con los demás dispositivos. Figura 2.28.
Figura 2.28 Conector ST

La fibra óptica seleccionada está fabricada de silicio o vidrio de la empresa hindú


NeST Photonics la cual es más pequeña que la fibra plástica. El rango de
operación en longitud de onda está dentro del rango de 850 nm a 1550 nm
(infrarrojo). Algunas de sus características están consignadas en la tabla 2.4.

Tabla 2.4 Características de la fibra óptica

Máx atenuación 3.75 dB/km

Ancho de Banda 200 MHz / km


Mínimo
Split ratio 50 / 50

La transmisión de información a través de fibras ópticas se realiza mediante la


modulación (variación) de un haz de luz invisible al ojo humano, que en el
espectro ("color" de la luz) se sitúa por debajo del infrarrojo [15].

Las fibras ópticas presentan una menor atenuación (pérdida) en ciertas porciones
del espectro lumínico, las cuales se denominan ventanas y corresponden a las
siguientes longitudes de onda (λ): Una representación del espectro y la ubicación
de las ventanas dentro de el se encuentra en la figura 2.29.

Primera ventana 800 a 900 nm λ utilizada = 850nm


Segunda ventana 1250 a 1350 nm λ utilizada = 1310nm

Tercera ventana 1500 a 1600 nm λ utilizada = 1550nm

Figura 2.29 El espectro

2.11 DETECTORES ÓPTICOS


El propósito del receptor en los sistemas de telecomunicaciones por fibra óptica,
es extraer la información contenida en una portadora óptica que incide en el
fotodetector. En los sistemas de transmisión análoga el receptor debe amplificar la
salida del fotodetector y después demodularla para obtener la información.

El detector óptico se encarga de convertir la señal óptica en eléctrica y por tanto


actúa como un transductor opto eléctrico. Estos dispositivos absorben los fotones
(luz) procedentes de la fibra óptica y generan unos pulsos eléctricos sobre un
circuito exterior, esta corriente eléctrica es muy débil, por lo que debe amplificarse
[6].

En los sistemas de telecomunicaciones por fibra óptica, el fotodetector es un


elemento esencial, su importancia impone que satisfaga requerimientos muy
estrictos en su funcionamiento. Las características principales que deben tener
son:
1. Sensibilidad alta a la longitud de onda de operación (850 nm o 1300 nm)
2. Contribución mínima al ruido total del receptor.
3. Ancho de banda grande (respuesta rápida).
4. Características estables respecto al ambiente.
5. Dimensiones físicas compatibles con la fibra óptica.

2.11.1 Características del detector seleccionado

Existen básicamente dos tipos de fotodetectores de semiconductor, que se


emplean en los receptores ópticos para sistemas de telecomunicaciones. Uno es
comúnmente referido como fotodetector PIN, el otro es el fotodetector de
avalancha (APD). Estos detectores presentan como principales ventajas, su alta
sensibilidad y su bajo tiempo de respuesta.
El PIN se trata de una versión mejorada de una unión PN elemental que trabaja
polarizado en inversa. Son utilizados de forma general en 850 nm y 1300 nm, con
independencia del tipo de fibra óptica.

En este trabajo se implementó el fotodetector PIN debido a que estos detectores


son los más comunes en los sistemas de transmisión por fibra óptica. Estos
dispositivos se forman con una capa de material semiconductor ligeramente
contaminado, llamada región intrínseca, la cual se coloca entre dos capas del
material semiconductor, una tipo n y otra tipo p. Cuando se aplica una polarización
inversa al fotodetector, se crea una zona desértica (libre de portadores) en la
región intrínseca y en la cual se forma un campo eléctrico.
Un fotón que llegue a la zona desértica, con energía mayor o igual a la del material
semiconductor, puede perder su energía y excitar a un electrón que se encuentre
en la banda de valencia para que pase a la banda de conducción. Este proceso
genera pares electrón-hueco, que se llaman fotoportadores. El fotodetector se
diseña para que la mayoría de los fotones se absorban en la zona desértica y se
generen fotoportadores, los cuales se separan debido al campo eléctrico presente
en esta región. La colección de los portadores genera un flujo de corriente en el
circuito externo del fotodetector.
Los principales parámetros a tener en cuenta en los fotodetectores son:
1. La capacitancia y resistencia de la zona desértica y de la zona no desértica.
2. La responsividad.
3. Corrientes de oscuridad (ruido).
4. La velocidad de respuesta.

La capacitancia y la resistencia del fotodetector, combinada con la impedancia de


carga, forman la constante de tiempo del sistema. Esta capacitancia es un dato
que normalmente el fabricante proporciona en las hojas de datos y se especifica
para un determinado voltaje de polarización.
Una resistencia que frecuentemente no se toma en cuenta en el fotodetector, es la
de la zona desértica, ésta es difícil de cuantificar, dado que en cada caso es
diferente. Para un funcionamiento óptimo de alta velocidad de los fotodetectores,
el área activa de éstos debe estar lo más próxima a la zona de iluminación. La
energía de los fotones absorbidos fuera de la zona desértica, producen portadores
de carga que se colectan por difusión, su velocidad es mucho más lenta que la de
los portadores de carga que se generan dentro de la zona desértica, por lo que
bajo ciertas condiciones de polarización, los fotodetectores pueden tener
respuestas de tiempo rápidas, para longitudes de onda cortas y respuestas de
tiempo lentas para longitudes de onda largas.

La fotocorriente generada en un fotodetector se incrementa linealmente con la


potencia óptica de entrada. La relación de la fotocorriente con respecto a la
potencia óptica inyectada se define como responsividad, el valor teórico máximo
es 1.25 A/W. Este parámetro está relacionado con el tipo de material del
fotodetector y es función del espesor de la zona desértica para un nivel
determinado de voltaje de polarización. La responsividad está dada por (3):
I
R  ,  A /W  (3)
P
donde:
P incremento de potencia óptica;
I incremento de fotocorriente.

La corriente de oscuridad en los PIN es la corriente que pasa por el fotodetector


en ausencia de luz inyectada, cuando se polariza el dispositivo en inversa.
Corrientes altas unidas a desequilibrios entre los fotodetectores tienden a reducir
la sensibilidad del receptor. Los fotodetectores PIN se fabrican con GaAlAs/GaAs,
tienen corrientes de oscuridad de aproximadamente 0.2 nA y operan en el
intervalo de las longitudes de onda de 700 nm a 900 nm. Los que se fabrican con
GaInAs tienen una corriente de oscuridad de aproximadamente 2 nA y operan en
las longitudes de onda de 1000 nm a 1500 nm, se utilizan en sistemas de alta
velocidad. Los fotodetectores de silicio, tienen una corriente de oscuridad de
alrededor de 1 nA, operan en el intervalo de longitudes de 700 nm a 1000 nm y su
eficiencia cuántica es alta. Los fotodetectores de Ge operan en las longitudes de
onda mayores de 1000 nm, su corriente de oscuridad aumenta fuertemente con la
temperatura, por lo que ofrece una mayor sensibilidad cuando operan a
temperaturas menores 0 °C [6].
En este trabajo se usaron dos fotodetectores, uno para cada longitud de onda
seleccionada. El primer detector es un producto de AMP, referencia 269111-1,
para 850 nm, fabricado de GaAlAs/GaAs. Figura 2.30. Sus características más
importantes son:
Responsividad: 0.70 A/W
Rata de datos: 125Mbps
Ancho de Banda: 125 MHz

Figura 2.30 Fotodetector de 850 nm utilizado

La hoja de datos de este detector se puede ver en el anexo F.

El segundo fotodetector de InGaAs es producido por FERMONICS, referencia


FD300 para 1300 nm. Figura 2.31. Sus principales características son:
Responsividad: 0.80 A/W
Ancho de Banda: 40 MHz
Tamaño: 50 / 62.5 / 125
Figura 2.31 Detector de 1300 nm utilizado

La hoja de datos de este detector se puede ver en el anexo G.

2.12 ADECUACIÓN DE LA SEÑAL ENTREGADA POR EL


FOTODETECTOR

En el receptor del sistema de transmisión por fibra óptica debe haber un circuito
que amplifique las variaciones de voltaje a la salida del fotodetector PIN, debido a
que son de unos escasos milivolts. La razón de mayor peso para la
implementación de este circuito es que la señal producida por el detector debe ser
adecuada para que se ajuste a los niveles necesarios para la siguiente etapa (el
microcontrolador). El circuito encargado de realizar dicha tarea es un comparador
y se ilustra en la figura No 2.32.

Figura 2.32 Circuito comparador


2.13 DEMULTIPLEXACION EN EL TIEMPO

El incremento en la velocidad binaria por portadora exige una correspondiente


velocidad eléctrica de proceso en los módulos de transmisión y recepción, no sólo
en lo relativo a transmisión, sino también en cuanto al proceso de multiplexación
tanto como el de demultiplexación de la información.
La demultiplexación es la operación contraria a la multiplexación, básicamente
permite separar la información contenida en una misma trama proveniente de
diferentes canales. Esta es una operación importante ya que si no es efectuada, la
información obtenida no podría ser tomada por cada canal de destino
correspondiente. En el presente trabajo, la demultiplexación es realizada en el
receptor por el microcontrolador, capaz de identificar correctamente cada uno de
los componentes de la trama, estando así en capacidad de tomar la información
por separado de cada canal. Este procedimiento es viable gracias al orden estricto
en que se construye la trama en el transmisor.

2.14 INTERFAZ DE SALIDA

Esta etapa consta básicamente de dispositivos que permitan a los usuarios


distinguir la información proveniente de cada uno de los canales. El primer
dispositivo es un conversor digital análogo y el segundo un altavoz.
Para la conversión digital-análoga de los datos se escogió el conversor digital-
análogo de 8 bits DAC0832 principalmente porque su diseño permite una interfaz
directa con el microprocesador. La distribución de pines de este conversor se
encuentra en la figura 2.33.
Figura 2.33 Distribución de pines DAC0832

El número de escalones de voltaje generados por el convertidor es igual al número


de combinaciones binarias de entrada. Por tanto, un convertidor de 8 bits genera
256 niveles diferentes de voltaje. Este dispositivo es de mediana velocidad, con un
solo canal, de interfase paralela, de baja potencia (20 mW) y trasforma una
entrada digital a una salida analógica en alrededor de 1.0 µseg.
Las salidas Iout1 e Iout2 se conectan a un amplificador externo el cual cambia los
niveles de corriente a la salida del conversor DAC0832 por niveles de voltaje
adecuados que posteriormente son tratados por un filtro pasa bajos (con
frecuencia de corte 4 kHz) que elimina el ruido que se haya generado durante la
trayectoria de la señal. La configuración de salida de este conversor se representa
en la figura 2.34.
Figura 2.34 Configuración de salida del conversor

Debido a que es un convertidor de 8 bits, su escalón de voltaje de salida se define


como –Vref dividido entre 256 (4). Como el voltaje referencia es igual a -5.0 V,

VOUT  IOUT1  R fb

 VREF EntradaDigital 10


VOUT 
256 (4)

cada escalón de voltaje de salida equivale a 19.53 mV lo cual define la resolución


del convertidor. Se debe tener en cuenta que el voltaje de salida tiene polaridad
opuesta a la del voltaje de referencia [16].
La respuesta transitoria y el tiempo de establecimiento del amplificador
operacional son importantes en usos rápidos del rendimiento de procesamiento de
datos. El problema más grande de la estabilidad es el polo feedback creado por la
resistencia de la feedback, Rfb, y la capacitancia de salida del DAC.

Un altavoz magnético funciona al hacer reaccionar el campo magnético variable


creado por una bobina con el campo magnético fijo de un imán. Esto hace que se
produzcan fuerzas, que son capaces de mover una estructura móvil que es la que
transmite el sonido al aire. Esta estructura móvil se llama diafragma, puede tener
forma de cúpula o de cono. Por las características didácticas del módulo se
decidió usar un par de parlantes de computador, estos dispositivos son fáciles de
adquirir y de instalar facilitando el manejo del módulo.

2.15 TRANSMISIÓN DE DATOS

En el proyecto se ha implementado una etapa de transmisión de datos desde la


computadora hacia el módulo WDM como complemento a la transmisión de voz.
La comunicación serial en una computadora se utiliza generalmente para la
transmisión y recepción de datos a través de largas distancias. Esta comunicación
utiliza una interfaz o puerto serie el cual es un adaptador asíncrono. Este puerto
toma como ´1´ cualquier voltaje que se encuentre entre –3 y –12 V y como ´0´,
entre +3 y +12 V, a diferencia del puerto paralelo, cuyo rango de voltajes esta
entre 0 y 5 V [17]. Por tanto es necesario añadir el MAX232 el cual tiene una
velocidad de transmisión de hasta 256 kbps. Este circuito integrado soluciona los
problemas de niveles de voltaje. El MAX232 necesita solamente una fuente de +5
V para su operación; un elevador de voltaje interno convierte el voltaje de +5 V al
doble de polaridad de +-10 V, además este convierte a +-10 V a niveles de voltaje
entre 0 - 5 V. En el circuito se hace necesaria esta adecuación de voltaje ya que el
microcontrolador PIC16F873 no puede recibir los niveles de voltaje que arroja la
computadora, estos deben ser inferiores a 5 V.

Esta forma de transmisión fue escogida debido a que en la actualidad un amplio


rango de los microcontroladores se construye sobre interfaces de comunicación
serial, ya que esta reduce apreciablemente la cantidad de pines necesarios para
establecer la comunicación.
A continuación se enumeran algunas de las especificaciones eléctricas del puerto
serie RS232:
1. Un "Espacio" (0 lógico) estará entre +3 y +25 V.
2. Una "Marca" (1 lógico) estará entre -3 y -25 V.
3. La región entre -3 y +3 V no esta definida.
4. El voltaje en circuito abierto no debe exceder los 25 V, en referencia a tierra.
5. La corriente en cortocircuito no debe exceder los 500 mA.
Los RS232 se pueden encontrar de dos tipos, 25 pines, macho, en forma de D o 9
pines, macho, en forma de D también. En el proyecto se emplearon conectores de
9 pines [18]. Figura 2.35.
Figura 2.35 Conectores DB9

La información asociada a cada uno de los pines esta consignada en la tabla 2.5.

Tabla 2.5 Información pines conector DB9


Pin Abreviación Señal
1 DCD Data Carrier Detect
2 RX Received Data
3 TX Transmitted Data
4 DTR Data Terminal Ready
5 GND Signal ground
6 DSR Data Set Ready
7 RTS Request To Send
8 CTS Clear To Send
9 RI Ring Indicador
3. ARQUITECTURA SOFTWARE DEL SISTEMA

3.1 FIRMWARE PARA LA TRANSMISIÓN DE VOZ

Figura No 3.1 Diagrama de flujo software extremo transmisor

El objetivo principal de este programa es: tomar datos de n canales de voz, hacer
conversión análogo digital, realizar la multiplexación en el tiempo y trasmitirla
serialmente de forma asíncrona a través del puerto serial del microcontrolador. Se
seleccionó este tipo de transmisión dadas las características del módulo USART
(llamado interfase de comunicación serial) del microcontrolador, el cual especifica
dos medios de transmisión para el modo síncrono uno para datos y otro para la
información de sincronización. En el modo de transmisión asíncrono se usa solo
un canal, la sincronización entre el emisor y el receptor se logra por la inclusión de
un bit de inicio y un bit de parada para cada byte transmitido.
La correcta sincronización en los dos extremos permite la recuperación exacta de
los datos de cada canal.

Una de las ventajas de este programa es que permite flexibilidad en el número de


canales a enviar. El valor del número de canales debe ser introducido en una
variable la cual será enviada al extremo receptor.

Para la aplicación a implementar se seleccionaron dos canales de voz. Ya que


este modulo debe servir de base a futuros trabajos, puede ser configurado para
que tenga la capacidad de usar todos los canales permitidos por el
microcontrolador seleccionado (en este caso cinco).

El programa consiste básicamente en:


 Configuración general: Se inicializan todos los registros de interrupciones,
conversión análoga digital y comunicación serie asíncrona.
 Inicialización de variables: Se selecciona cuantos canales van a ser utilizados.
El programa está en capacidad de transmitir cinco canales de voz,
correspondientes a los conversores internos del microcontrolador pero para
esta aplicación se usan sólo dos canales.
 Programa principal: En esta etapa el programa sólo debe esperar una
interrupción por transmisión. Una vez se produzca entrará a la rutina de
atención a interrupción.
 Rutina de atención a interrupción: Inicialmente el programa debe verificar que
la interrupción obedezca a una transmisión legítima observando el bit PIR1 en
el registro TXIF.
 Transmisión del canal de control: Tanto los datos como el canal de control se
envían con nueve bits, el canal de control tiene el noveno bit en uno. Para
diferenciar lo de la información en los ocho bits restantes que se envían serán
el número de canales que se van a transmitir como se definió en la sección 2.6.
 Selección de canal: La selección del canal se realiza por medio de una tabla.
Se ingresa a la tabla el número correspondiente a la cantidad de canales a
emplear. Al entrar a cada canal se habilitan los bits CHS1 y CHS2 del registro
ADCON0 para la conversión del canal respectivo, evitando así la interferencia
de otros canales.
 Transmisión de datos: Los datos ya convertidos y ordenados en la trama
multiplexada en el microcontrolador son enviados de forma serial asíncrona
hacia el receptor.

El código para el PIC16F873 con este programa implementado se puede ver en el


anexo H.

3.2 FIRMWARE PARA LA RECEPCIÓN DE VOZ

La función que cumple este programa es tomar la trama de control y datos del
microcontrolador emisor y demultiplexarla en el tiempo mandándola por los
puertos de salida en forma de 8 bits para ser convertidas a la forma análoga.

Esta tarea es posible gracias al análisis que realiza el microcontrolador, a cada


uno de los bytes que va recibiendo serialmente. El primer byte corresponde a
información de control con la cual se conoce cuantos canales se debe estar
dispuesto a demultiplexar.
Con la inclusión de noveno bit se puede saber si el byte recibido corresponde a
información de control o a datos, propios del muestreo de la señal.
Figura No 3.2 Diagrama de flujo del programa en el receptor

El programa consiste básicamente en:


 Configuración general: Se inicializan todos los registros de interrupciones y
comunicación serie asíncrona.
 Programa principal: En esta etapa el programa sólo debe esperar una
interrupción por recepción. Una vez se produzca entrará a la rutina de atención
a interrupción.
 Rutina de atención a interrupción: Inicialmente el programa debe verificar que
la interrupción se haya producido por una recepción completa de una palabra
chequeando el bit RCIF del registro PIR1 y no cualquier otro tipo de señal.
 Subrutina de control: Verifica que el byte sea de datos o control chequeando el
noveno bit. De ser 1 lee la información correspondiente a la cantidad de
canales que fueron enviados. Verifica que el máximo número de canales
enviados sea 5.
 Subrutina de datos: Cuando el byte corresponde a datos de un canal de voz,
verificando el noveno bit en 0, se accede a una tabla donde se le asigna el
puerto por donde se deben visualizar los datos.

El código para el PIC16F873 con este programa implementado se encuentra en el


anexo I.

3.3 SOFTWARE DEL PC PARA LA TRANSMISIÓN DE DATOS

El principal objetivo de este programa es transmitir datos por el puerto serial hacia
el microcontrolador, para ello debe; configurar el puerto y los parámetros a
transmitir como la velocidad, el numero de bit, el bit de paridad y bit de parada.

El programa consiste básicamente en:


1. Configuración de las variables globales.
2. Se abre el puerto. Si no es posible la aplicación termina.
3. Se establecen los tiempos propios del puerto.
4. Se configura la velocidad de transmisión, el bit de paridad, el número de bits
a transmitir, y el bit de parada.
5. Se transmite cualquier carácter escrito en el memo.
6. Siempre está verificando el puerto para recibir la respuesta, cuando esta es
recibida la visualiza en el mismo memo.
7. Hace una verificación de los caracteres para no visualizar basura.
8. Espera a que termine la transmisión y se cierre el puerto.

El código para transmisión de datos se encuentra en el anexo J.


Figura 3.3 Diagrama de flujo software del pc para la transmisión de datos
3.4 FIRMWARE PARA LA TRANSMISIÓN DE DATOS

El objetivo de este programa es básicamente permitir la transmisión de un carácter


que es enviado por el pc de un microcontrolador al otro y finalmente de regreso al
computador, por esta razón este código se implementó tanto en el
microcontrolador transmisor como en el receptor.

El programa consiste básicamente en:


1. Configuración general: Se inicializan todos los registros de interrupciones y
comunicación serie asíncrona.
2. Espera la transmisión del carácter por parte del pc.
3. Una vez se produzca la interrupción por recepción:
El microcontrolador guarda el dato.
Lo visualiza en el puerto B.
4. Coloca el dato en el registro TXREG para ser trasmitido cuando el otro
microcontrolador este listo.
5. Espera que el bit TXIF de PIR1 este en uno, con lo cual verifica que el dato
fue enviado completamente.
6. Espera la transmisión de un nuevo carácter.
Figura 3.4 Diagrama de flujo software microcontrolador transmisión de datos
CONCLUSIONES

Se puede transmitir dos canales de voz en el rango de 300 Hz a 3400 Hz


siguiendo los requerimientos establecidos por la ITU. La comunicación se puede
realizar a una distancia de gran distancia, en modo de operación simplex.

Se pueden implentar una serie de circuitos con el fin de adecuar las señales de
voz a cada uno de los aspectos necesarios para el tratamiento de este tipo de
señales. Los circuitos que componen la etapa de adecuación son: filtro pasa alto,
filtro pasa bajo, controlador automático de ganancia, amplificador,
microcontrolador y conversor digital análogo.

Se puede diseñar e implementar un sistema de multiplexación en el tiempo capaz


de transmitir cinco señales de voz sobre un mismo canal. Esta tarea la realizaría
básicamente el microcontrolador seleccionado, superando así los objetivos en
cuanto a flexibilidad en el número de canales a transmitir.

La implementación de fuentes de luz, fotodiodos y dispositivos tanto multiplexores


como demultiplexores WDM y fibra óptica multimodo permiten realizar una
transmisión de dos señales, a 850 nm y a 1300 nm, multiplexadas en longitud de
onda sobre una única fibra.
Todo el sistema se encuentra en circuitos impresos debidamente diseñados según
normas establecidas por Motorota, Intel, Nacional Semiconductors, Philips
Semiconductors, Laticce Semiconductor Coorporation, las cuales permitirían la
adecuada presentación de los circuitos teniendo en cuenta el uso como módulos
didácticos.
El diseño e implementación del módulo podría ser usado como soporte en algunas
de las materias de Telecomunicaciones, la realización de algunas prácticas de
laboratorio le permitirán al monitor tener un material base y a los estudiantes tener
una aproximación a esta nueva tecnología de forma didáctica.

Se puede diseñar e implementar un sistema capaz de transmitir datos por medio


del módulo desde un pc. Tanto los programas del pc como del microcontrolador
permiten enviar caracteres independientes introducidos por teclado así como
también archivos.

Este trabajo permite diseñar e implementar un sistema de transmisión de dos


canales de voz en el rango de 300 Hz a 3400 Hz, multiplexados en el tiempo y
usando dos longitudes de onda. Las señales son emitas por diodos LED de 850
nm y 1300 nm a través de un dispositivo multiplexor de dos canales. La
información viaja dos metros después de ser combinada, cuatro metros en total,
en modo de operación simplex. Un dispositivo demultiplexor, de uno a dos
canales, entrega en cada uno de sus puertos señales separadas las cuales fueron
detectadas por los correspondientes dispositivos.

Se debe tener especial cuidado en la selección de dispositivos WDM ya que


existen varias clases con tareas específicas, dispositivos multiplexores
/demultiplexores de n canales, dispositivos que demultiplexan la señal en
proporciones diferentes por cada uno de sus puertos, dispositivos que dividen la
señal a una proporción igual o diferente en cada uno de sus puertos pero que no
son capaces de demultiplexar en longitud de onda. Cada uno debe ser evaluado
dependiendo de las necesidades específicas del proyecto a realizar.
Este trabajo permite diseñar e implementar un sistema de transmisión usando dos
longitudes de onda emitas por diodos LED de 850 nm y 1300 nm a través de un
dispositivo multiplexor de dos canales, la información viaja dos metros después de
ser combinada, un dispositivo demultiplexor de uno a dos canales entrega en cada
uno de sus puertos señales separadas las cuales fueron detectadas por los
correspondientes dispositivos.

La transmisión digital de señales analógicas es posible en virtud del teorema de


Nyquist, según el cual una señal analógica se puede reproducir de un conjunto de
sus muestras. De aquí que sólo es necesario transmitir los valores muestra
conforme ocurren, en lugar de la señal analógica completa. La frecuencia de
muestreo debe ser por lo menos el doble de la frecuencia de la señal a muestrear.
Si este teorema no se cumple se produce un traslape espectral o aliasing. Este
proyecto permitió apreciar de manera práctica la confirmación del teorema de
muestreo.

La utilización de elementos microprocesados de bajo costo, en este caso PIC


permite ver el alcance de estos componentes en la soluciones a problemas
específicos de ingeniería que integren hardware y software, que partiendo de una
tecnología comercial se tenga un valor agregado con ventajas de costo o facilidad
de uso sobre sistemas comerciales equivalentes. En este caso se pudo ver la
versatilidad y flexibilidad de estos elementos en tareas como la multiplexación y
demultiplexación en el tiempo, que bien podrían ser utilizadas en soluciones a
problemas propios de la región como implementación de aparatos de
comunicación residencial o comercial, implementación de sistemas de seguridad y
otros.
Desafortunadamente nuestro país aun no cuenta con un mercado significativo en
el área de la óptica. Básicamente se puede adquirir a nivel nacional cable de fibra
óptica mono modo y multimodo y algunos elementos como transceivers, patch
cord. Pero aún no se pueden conseguir dispositivos como fuentes ópticas,
detectores, filtros, dispositivos WDM. Por esto no fue posible la consecución de los
elementos ópticos a nivel nacional, haciéndose por esta razón más costoso, difícil
y requiriendo más tiempo.

Los sistemas WDM también presentan algunos inconvenientes ya que no todos


los tipos de fibra lo admiten, las tolerancias y ajustes de los láseres y filtros son
muy críticos y los componentes que utiliza son sumamente caros.

El Impacto de WDM ha tenido en las comunicaciones se puede ver en las cifras.


Con la tecnología WDM se puede multiplicar la capacidad por 4, por 8, por 16, 32
o incluso por mucho más, alcanzando (con 128 canales) más de 1 Tbps sobre una
única fibra, una capacidad suficiente para transmitir simultáneamente 20 millones
de conversaciones telefónicas, de datos o fax. Cada una de las señales puede ser
a una velocidad distinta y con un formato diferente (ATM, Frame Relay, etc.). El
bajo nivel de ruido se consiguen distancias de hasta 600 km sin repetidores para
2,5 Gbps y 32 canales independientes.
RECOMENDACIONES PARA TRABAJOS FUTUROS EN ESTA
LÍNEA

A partir de la experiencia en la realización de este proyecto se pueden hacer


enumerar algunas recomendaciones y sugerencias para los estudiantes
interesados en seguir con trabajos en esta rama. Recomendaciones de
financiación, aplicaciones y principales dificultades, que se podrían presentar con
esta tecnología, con fines académicos muy importantes para la universidad y el
sector.

Recomendaciones generales

 Buscar financiamiento por medio de empresas privadas.


 Realizar propuestas que generen ayuda económica de programas
gubernamentales que apoyen investigación.
 Haciendo uso de los módulos de transmisión sobre fibra óptica existentes en la
universidad, proponer nuevos temas de investigación en esta área.
REFERENCIAS BIBLIOGRÁFICAS

 [1] http://es.geocities.com/allcircuits5/fo.html

 [2] http://members.tripod.com/~glorsarm/index-4.html

 [3] http://www.geocities.com/SiliconValley/Node/9625/tiposddiodos.html

 [4] SANCHEZ DEL SOTO, Mariano, CORBELLE SANCHEZ, Jose Antonio.


Prácticas de electrónica: Transmisión digital a través de fibra óptica. Madrid:
McGraw-Hill, 1992, 164p-167p.

 [5] http://www.cq-radio.com/articles/007_abr_84.htm

 [6] JARDÓN AGUILAR, Hildeberto, LINARES, Roberto. Sistemas de


comunicaciones por fibras ópticas,México, D.F: Alfaomega, 1995, 55p, 66p 104p,
184p.

 [7] Gomez, Hernando. Conferencia sobre fibra óptica y WDM. Cali, Octubre
2003.

 [8] http://html.rincondelvago.com/modulacion-pcm-pulse-code-modulation.html

 [9] http:/www.elai.upm.es/spain/Asignaturas/Servos/examenes/ser03/
servop1_0203.pdf

 [10] http://rincondelvago.com/filtros-activos.html
 [11] www.geocities.com/gregsdownloadpage/filter2.html

 [12] MALVINO, Albert Paul. Principios de electrónica. México, D.F: McGraw-Hill,


1991, 775p, 776p.

 [13] http://whatis.techtarget.com/definition/0,,sid9_gci214174,00.html

 [14] Optical fiber connection: Joints and couplers. New York, 1995, 249p.

 [15] http://www.gco.upv.es/wbdocente/cocos/lecc7.htm

[16] National Data Acquisition Databook. Santa Clara, 1995, 3-23p.

 [17] http://www.ctv.es/pckits/tpseriee.html

 [18] http://www.ipn.mx/sitios_interes/sanlovdra/serie.htm

 [19] http://www.saludytecnologia.com/articculos/99186070569096.html

 [20]
http://profesores.elo.utfsm.cl/~walter/publicaciones/roteolivaresdesarrolloRedExpIP
WDM.pdf

Você também pode gostar