Escolar Documentos
Profissional Documentos
Cultura Documentos
(Qualcomm)
Ans. Metal Density rules take care of metal over-etching and metal lift off
issues encountered durinf manufacturing process.
Q2. Why power stripes routed in the top metal layers? (Qualcomm)
Ans. Power routes generally conduct a lot of current. In order to reduce effect of
IR drop, we need to make these routes less resistive. Top metal layers are
thicker and offer lesser resistance. This helps to reduce IR drop.
Q4. How do you validate your floorplan and what analysis you do
during floorplan? (Synapse)
Ans. 1) Overlapping of macros.
2) Global route congestion -> in order to finalize Min. Channel
spacing.
3) Allowable IR drop.
4) Physical information of the design (report_design_physical)
Q5. How many clocks you had in your designs? How did you do CTS for
the same? (Tessolve/ Synapse)
Ans. I had 5 clocks in my designs, sys_clk, sys_rclk, uart_clk, g_clk and
scan_clk, where sys_clk, g_clk and uart_clk logically exclusive to scan_clk.
Q6. Did you get Antenna problem in your project for all the metal
layers? How did you fix them? (Tessolve/ Synapse)
Ans. Metal Jumper and Antenna diode are two methods to resolve Antenna
violations. But Metal Jumper is preferred approach as it does not need change
to the Netlist and placement. This methodology works for antenna violations on
all metal layers except for the top most layer. In this methodology, we will
switch the small portion of routing to higher level metal close to the location
of failing gate. This will make sure that accumulated charges on metal layer
does not affect the gate as gate will not be connected to the charge carrying
metal route until higher level metal is manufactured.
For example, lets say antenna violation is in M2. This means that M2 has
enough area to accumulate large charge that induces high electron voltage to
destroy the gate. To solve this problem, we cut a portion of M2 close to failing
gate and move the routing to M3. This makes sure that when M2 is being
manufactured, it does not get connected to gate. Connection happens only
when M3 gets manufactured which is much later in time. By then charges on
Metal M2 would have leaked away.
Q1. Explain the flow of physical design and inputs and outputs for each step in
flow?
Q2. Why higher metal layers are preferred for Vdd and Vss?
Q5. Which is more complicated when you have a 32 MHz and 512 MHz clock
design?
Q7. What parameters (or aspects) differentiate Chip Design & Block level
design?
Q15. What is the difference between core filler cells and metal fillers?
Q18. What is scan chain? What if scan chain not detached and reordered? Is it
compulsory?
Q26. What are the steps that you have done in the design flow?
Q27. What are the steps involved in designing an optimal pad ring?
Q30. What is logic optimization and give some methods of logic optimization.
Q40. What is each macro size and no. of standard cell count?
Q45. What is core and how you will decide w/h ratio for core?
Q54. What if hot spot found in some area of block? How you tackle this?
Q55. What are the Input needs for your design?
Q56. What are the input files will you give for primetime correlation?
Q57. What are the algorithms used while routing? Will it optimize wire length?
Q61. What are delay models and what is the difference between them?
Q64. Name few tools which you used for physical verification?
Q65. In your project what is die size, number of metal layers, technology,
foundry, number of clocks?
Q66. In which layer do you prefer for clock routing and why?
Q67. If the routing congestion exists between two macros, then what will you
do?
Q68. If lengthy metal layer is connected to diffusion and poly, then which one
will affect by antenna problem?
Q69. If in your design has reset pin, then it’ll affect input pin or output pin or
both?
Q76. How to calculate core ring width, macro ring width and strap or trunk
width?
Q78. How the width of metal and number of straps calculated for power and
ground?
Q79. How slow and fast transition at inputs effect timing for gates?
Q82. How much aspect ratio should be kept (or have you kept) and what is the
utilization?
Q89. How delays vary with different PVT conditions? Show the graph.
Q91. During power analysis, if you are facing IR drop problem, then how did
you avoid?
Q93. Define antenna problem and how did you resolve these problem?
Q94. After adding stripes also if you have hot spot, what do you do?
Q97. What is a transmission gate, and what is its typical use in VLSI?
Q98. What are the differences between gate array ASIC and cell based ASIC?
Q99. When you want the production in bulk amount which design style you
prefer? Justify?
Wherever the slew is worst. Based on top level guys experience i.e 20% for
data and 10% for clock.
The max_capacitance value can vary with the operating frequency of a cell.
(Because the capacitive load vary as per relationship of Xc=1/ωC .)
Vdd vss because alternative (VDD VSS) will offer better routing resources.
12. Why you require uncertainity before cts with ideal clock?
At pre CTS stage uncertainty is combination of skew, jitter and margin. To get
the better timing at pre CTS.
13. I said -for presenting worst case for setup analysis : then he said
he can increase the operating frequency, then Why uncertainity
is required?
14. What are NDR rules, clock shielding, which metal layer?
Shielding
Double space
double width
top metal layers after power distribution layers.
Because after power clock is the main power hungry.
17. what are HVT cells, how area increases with HVT cells?
For HVT cells the oxide thickness under gate is very high. Such that it can
reduces the leakage current. (as oxide thickness increases little area
increases).
20. How GR will handle congested paths, what is its impact on delay?
21. What is single case, worst case and best case and OCV analysis?
22. How can one library have many values for same input slew and
output load?
27. Will you give constraints for via in CTS or not. How vias will effect
the clock routing?
1) Latch Up
Latchup may begin when Vout drops below GND due to a noise spike or an improper circuit
hookup (Vout is the base of the lateral NPN Q2).
If sufficient current flows through Rsub to turn on Q2 (I Rsub > 0.7 V ), this will draw current
through Rwell. If the voltage drop across Rwell is high enough, Q1 will also turn on, and a self-
sustaining low resistance path between the power rails is formed. If the gains are such that b1
x b2 > 1, latchup may occur. Once latchup has begun, the only way to stop it is to reduce the
current below a critical level, usually by removing power from the circuit.
The most likely place for latchup to occur is in pad drivers, where large voltage transients and
large currents are present.
Sanity Checks
• Library Checks
1. Floating nets/Pins.
2. Multi driven nets
3. Combinational loops.
• SDC Checks
The first stage in physical design flow is reading in the netlist and the design constraints to your
tool of choice. Let us see what kinds of files we are dealing with here. I have used Synopsys IC
Compiler extensively, so those are what I will base my examples on. However, every tool uses
pretty much the same flow and even the same format files.