Você está na página 1de 5

ENC O U NT E R P O WE R S YS T E M

DATASHEET

As geometries shrink and clock frequencies increase, timing-clean


designs could fail on silicon due to lowered operating voltage
caused by static and instantaneous IR drop, increased leakage,
and temperature variation. Cadence® Encounter® Power System
enables designers to analyze and debug power and IR drop across
multimillion-gate designs with significant gains in productivity. It
provides a complete signoff solution in combination with Encounter
Timing System and Encounter Library Characterizer, delivering
comprehensive timing, signal integrity, thermal, power, power grid,
and statistical characterization and analysis with a common user
interface, constraints, commands, debug, and reporting.

Encounter Power Complete power integrity and vector-based dynamic analysis,


System analysis Encounter Power System helps designers
identify areas of high dynamic current
Encounter Power System offers designers Encounter Power System provides a
caused by simultaneously switching
a complete and accurate view of gate comprehensive static and dynamic power,
logic. Encounter Power System identifies
and grid power, IR drop, electromigration, IR drop, and electromigration analysis
the amount of de-coupling capacitance
and statistical and thermal analysis – for solution with advanced debugging
needed to fix such dynamic IR drop
all technology nodes – delivering the capabilities, easy Power Grid View
violations. It also allows de-coupling
utmost in productivity, precision, and (PGV) library generation, and input
capacitance and power-switch
performance for the most complex data validation. Designed for optimal
optimization, rush-current and turn-on-
advanced node designs. usability, accuracy, and runtime, it enables
time analysis for shut-off blocks, clock
designers to rapidly check that the power
jitter and skew analysis, and package/die
Encounter Power System and its native rails can supply the amount of power
co-design.
production-proven VoltageStorm® needed by the design. Designers can
signoff engines have been in use for use it for accurate power estimation, An essential part of any deep sub-
more than a decade with thousands of optimal I/O placement, study of package micron design, Encounter Power System
successful tapeouts for both analysis impact on IR drop, power stripe size, and is available in L and XL configurations.
and in-design optimization. It is used pitch correction, and via and connection An Encounter Power System Advanced
across the implementation flow, spanning problem identification. Analysis Option is also available.
floorplanning, power planning, design
optimization, and signoff, to provide The Encounter Power System power
consistent, converging results at every estimation engine enables Verilog®-based
step of the flow. Encounter Power System early gate-level power estimation, as well
helps front-end logic designers looking as DEF-based signoff power calculation.
for high-quality early rail analysis and It leverages the Encounter Timing System
ease of use, as well as back-end physical signoff timing engine for accurate slew
designers looking for comprehensive and arrival windows needed during power
signoff analysis and silicon correlation. estimation. With hierarchical vectorless
Benefits
• Delivers consistent, integrated power
and IR drop analysis across the
implementation flow, from
floorplanning through optimization and
signoff

– Early rail analysis (ERA) at floor/power


planning stages allows correct-by-
construct power-grid design

– Consistent Encounter-integrated
and standalone use model improves
productivity

– Integration with Encounter platform


technologies allows access to physical
database and on-the-fly engineering
change orders (ECOs)

• Provides a unified signoff analysis


solution

– Integration with Encounter Timing


System enables analysis of IR drop- Figure 1: Power and IR drop cross probing and waveforms
induced delay variability across data
and clock networks, such as clock
• Allows easy debugging • Accurate post-placement and routing
jitter and skew analysis
power estimation for power grid
– Global Power Debug speeds up root
– Provides consistent engines, interface, optimization and signoff
cause analysis
and commands across the flow
– Integrated waveform and layout viewers Easy library generation
• Performs comprehensive full-chip and
enable fast power and IR debugging • Detailed Power Grid View (PGV))
package IR drop analysis
• Offers advanced node analysis capabilities generation using easily available Spice
– Power grid views of analog, mixed- sub-circuits
signal, custom-digital, or full digital – Performs manufacturing-aware
blocks allow true full-chip IR drop extraction for advanced nodes • Detailed PGV generation using industry-
analysis standard LVS rule decks
– Performs thermal and statistical
– Supports chip/package co-design leakage power analysis • On-the-fly device and coupling
through package and die model capacitance characterization using the
– Supports Blech Length for accurate embedded Spectre® SIMI
exchange with Allegro® Package
electromigration analysis
Designer • Pass/Fail report capabilities to analyze
• Supported by major foundries, ASIC contents of PGVs with guidance on
• Boosts productivity and shaves weeks
and IP vendors, and integrated device causes of failure
off tapeout schedules
manufactures
– Multi-CPU support ensures high • Layout-aware Power System Viewer
(PSViewer) for graphically viewing and
performance Features debugging PGVs
– Pipelined methodology ensures high
Comprehensive power and • Automatic spawning of library generation
capacity and throughput
power grid verification jobs for macros and memories
– Performs incremental and what-if • Flexible, consistent power engine used
analysis and exploration for power estimation across the Seamless data import and
– Supports the Common Power Format implementation flow input data sanity checking
(CPF) • Flexible design imports using Encounter
• Gate-level power estimation using
database, OpenAccess, or third-party
– Provides a GUI-driven and interactive Verilog as input for early power
designs
Tcl command interface estimation, with full RTL and gate-level
VCD and SAIF support

www.ca de nce .com EN C O U N TER PO WER SYSTEM 2


• HTML reporting for easy result
navigation

• Fast what-if analysis enabling quick


experimentation

Early rail analysis (ERA)


Floorplanning and power planning
designers can use Encounter Power
System engines to rapidly prototype their
I/O placement, macro placement, and
power grid structure early in the design.
Consistency of the engines between early
rail analysis and Encounter Power System
removes correlation risks, improves
productivity, and speeds design closure.

Vector profiling
Encounter Power System includes
Figure 2: Global Power Debug
multiple vector profiling options to help
designers study VCD profiles textually and
graphically. Activity-based vector profiling
• Embedded design sanity checks such as • Integrated full-featured waveform
enables fast identification of high activity
completeness of LEF library data, timing viewer enables study of dynamic power
regions of VCDs. A fast vector power-
library data, physical and logical netlist and IR drop waveforms, with composite
profiling option calculates switching
annotation, and SPEF annotation waveform creation capabilities across
power of the design over time. The
design hierarchies and clock domains
• Fast structural power grid verification to accurate vector power-profiling option
identify missing vias and disconnected • Embedded Encounter layout viewer allows full power estimation of a VCD,
power pins with ability to cross-probe power and IR with activity propagation capabilities for
drop information non-annotated nodes.
• Access to the Encounter Timing System
signoff timing engine, enabling fast • Instance-based effective resistance plots
timing database queries for slews and with automatic least-resistive path
arrival times highlighting

Powerful GUI
• Command console with full Tcl support,
command completion, history, and Effective Resistance Plots
context highlighting highlighting weak connections
• Script editor to evaluate scripts with
ability to crosslink and expand Tcl
procedures
Automatic least resistive
Easy debugging
path highlighting
• Global Power Debug for analyzing
power consumption at different levels
of hierarchy, cell type, power net,
power domain, clock domain, etc., with
pie charts and histograms

Figure 3: Effective resistance plots with automatic least-resistive path highlighting

www.ca de nce .com EN C O U N TER PO WER SYSTEM 3


while the blocks are not in use. Encounter
Power System analyzes power-on and
power-off scenarios of these blocks,
creating engineering change orders
(ECOs) to optimize power switch size and
location. This ensures the block’s rush
current during power-up will not impact
the neighboring logic, and that the static
and dynamic IR drop within the block is
within expected budgets.

Comprehensive IR drop
analysis solution
Encounter Power System uses Power
Grid Views (PGVs) as the building blocks
for hierarchical power grid analysis. The
Figure 4: HTML reports VoltageStorm family of products, such
as VoltageStorm Transistor and Virtuoso®
Automatic de-coupling information to Encounter Timing System, Analog VoltageStorm Option, analyze IR
capacitance optimization which calculates the impact of IR drop drop across custom-digital and analog/
on delay- and signal integrity-generated mixed-signal blocks accordingly. After this
Encounter Power System can calculate
noise. Encounter Power System can also analysis, both products create PGVs for
and recommend the amount of additional
generate dynamic IR drop and ground- use in Encounter Power System during
de-coupling capacitance necessary to
bounce waveforms for critical paths, full-chip static and dynamic runs. This
limit the dynamic IR drop to user-specified
allowing Encounter Timing System to allows study of IR drop across the entire
limits. This recommended additional
accurately trace and analyze such paths. chip, taking into account digital, custom
de-coupling capacitance can then drive an
automated optimization flow throughout block, and analog domains’ power grid
the Encounter Platform, where filler Analysis of IR drop-induced interactions.
cells are swapped with de-coupling delay variability on clock
capacitance cells. For low-power designs, and data networks Thermal and statistical
this flow can be used to remove extra The delay variation introduced by analysis
de-coupling capacitance cells in the instantaneous rail voltage changes on the Designed for advanced nodes, Encounter
design, improving leakage and yield. clock network can cause set-up and hold Power System allows designers advanced
violations in a design. The different delays analysis features such as thermal and
Package/die co-design seen on the clock and data networks statistical leakage power analysis (SLPA).
Encounter Power System integration with could introduce further set-up and hold Worst-case leakage has a very low
Allegro Package Designer enables easy violations. By monitoring the effective probability of occurring across the entire
hand-off of package and die models. operating voltage of the clock and data chip, and leakage distributions have long
Encounter Power System enables chip network elements, Encounter Power tails that make worst-corner analysis very
designers to create an abstract parasitics System enables Encounter Timing System pessimistic. Using SLPA, designers can
and dynamic current profile of the die for to more accurately analyze clock jitter, capture a more realistic picture of their
package designers. It also takes in 2 or skew, and delay variability across clock design’s leakage power distribution. SLPA
n-port package models to enable accurate and data networks. This analysis allows accounts for global, within-the-die, and
IR drop analysis, taking into account the Encounter Timing System to identify random variation. It enables designers to
package effects. problematic clock network elements spend less time fixing leakage problems
and to create and run a complete jitter- that are very unlikely to occur. Thermal
sensitized Spice trace. analysis allows users to study the impact
Analysis of IR drop impact on
of power on temperature variations across
timing and signal integrity
Power-switch optimization the die, which in turn impact leakage and
Encounter Power System calculates power consumption, and hence IR drop. It
Today, many low-power designs include
instance operating voltages based on also helps designers ensure proper cooling
switched blocks through the use of power
the switching windows associated with of the die to avoid thermal runaways.
switches. These blocks are only turned
each instance. It then provides this
on when needed, saving leakage power

www.ca de nce .com EN C O U N TER PO WER SYSTEM 4


Standard Interface
Support Timing
DEF Verilog LEF
• Mandatory design data Libraries

– Timing libraries
SPEF GDS Spice
– Verilog Subckts
– SDC

– LEF SDC Power


Pads
– DEF
Encounter
– SPEF Power
VCD Package
– Spice Subckts and GDS for design
System
components

– Power pad location Power IRdrop/EM


Reports Reports
– Extraction tech file for QRC or
process file Power IRdrop/EM
Plots Plots
• Optional design data Power IRdrop
Waveforms Waveforms
– Common Power Format (CPF) file

– Package model

– VCD = Required Input = Optional Input


= Input for Library Generation = Output
Specifications
Figure 5: Encounter Power System inputs and outputs
Platforms
• Sun Solaris 8 or 9 (32-bit, 64-bit) CADENCE SERVICES AND • Cadence-certified instructors teach more
SUPPORT than 80 courses and bring their real-
• HP-UX 11.0 (32-bit, 64-bit)
world experience into the classroom
• Cadence application engineers can
• Opteron Linux RHEL 3.0 (64-bit)
answer your technical questions by • More than 25 Internet Learning Series
• Red Hat Linux RHEL 2.1 (32-bit) telephone, email, or internet — they (iLS) online courses allow you the
can also provide technical assistance flexibility of training at your own
• IBM AIX 5.1 (32-bit, 64-bit) computer via the Internet
and custom training

• SourceLink® online customer support


gives you answers to your technical
questions — 24 hours a day, 7 days a
week — including the latest in quarterly
software rollups, product release
information, technical documentation,
software updates, and more

For more information,


contact Cadence sales at:
1.800.746.6223
Email us at:
info@cadence.com
or log on to:
www.cadence.com

© 2008 Cadence Design Systems, Inc. All rights reserved. Cadence, Allegro, Encounter, SourceLink, Verilog, Virtuoso, and VoltageStorm are registered
trademarks, and the Cadence logo is a trademark of Cadence Design Systems, Inc. All others are properties of their respective holders.
20718 08/08 MK/MVC/CS/PDF

Você também pode gostar