Você está na página 1de 11

Alison Burdett

Ultra-Low-Power
Wireless Systems
Energy-Efficient Radios for the Internet of Things

A
n ultra-low-power wireless system system also needs to support a relatively large num-
is one which has to operate for an ber of devices and the communication range should
extended period of time with only a be large—throughout the whole building. Finally for a
limited power source available, and is gaming application such as a wireless headset, high data
typically constrained to a limited size rate and very low latency are key, so as not to ruin the
(if size is not a limitation then a larger battery could be high-speed gaming experience.
used). Clearly the term “ultra-low-power wireless” covers An ultra-low-power wireless system comprises a num-
a broad range of applications which may have different ber of functional blocks in addition to the wireless trans-
key drivers as illustrated in Figure 1. For a fitness device ceiver; Figure 2 shows a block diagram of a typical Internet
such as a heart rate monitor, the number one driver is of Things (IoT) “node.” The energy source will be a battery
often cost as these are basic consumer products. For a or energy harvesting unit; the power management unit
bio-implant such as a smart pacemaker, battery life is generates the required supply voltages and handles power
critical as battery replacement typically requires sur- sequencing of the various blocks; the sensors and analog
gery. For a smart home system such as automatic cli- front end generate and condition the environmental data
mate control, cost and battery life are important but the which is processed typically by a microcontroller. In an
ultra-low-power wireless system, all the blocks shown
Digital Object Identifier 10.1109/MSSC.2015.2417095 in Figure 2 must be carefully specified and designed to
Date of publication: 25 June 2015 minimize the total system power, however it is often the

18 s p r i n g 2 0 15 IEEE SOLID-STATE CIRCUITS MAGAZINE 1943-0582/15©2015IEEE


Application
Fitness Bio-Implant Smart Home Gaming
Importance
Cost High Low High Medium
Battery Life Medium High High Low
Data Rate Medium Low/Medium Low High
Range Low Low High Medium
Latency Low Low/Medium Medium High
# of Nodes Low Low High Low

Figure 1: Ultra-low-power wireless application examples.

wireless transceiver (which is the average data rate is fairly low and the the Lithium coin cell lifetime can be
focus of this article) which consumes transceiver is heavily duty cycled, 10 years or more.
the highest power when active of all then the average power will actually When selecting a battery, it is
the blocks. be dominated by the sleep current. important to understand the real
Achieving a very low average battery discharge characteristics so
power for a wireless system typically Energy Budget that the optimal load current can
makes extensive use of duty cycling. Since an ultra-low-power wireless be understood. Take for example
The aim is to reduce the radio “on” system is size constrained, Figure 4 a CR2032, whose battery capac-
time to a short communication burst, shows the energy available from bat- ity is typically quoted as 240 mAh.
and then between these active peri- teries with relatively small volumes. This battery capacity is the energy
ods have the radio enter a sleep The first three columns are fairly
mode when power consumption is well-known battery types­—a lithium
minimized. To minimize radio “on” coin cell, and manganese dioxide
time, an obvious step is to maximize and zinc button cells—followed by Energy Power
Source Management
the data rate. Figure 3 shows the typi- a lithium thin film “printed” bat-
cal sequence required when a radio tery and a supercapacitor. By far the
Memory Microcontroller
wakes up from sleep to active. First, most commonly used type today for
the crystal oscillator needs to settle ultra-low-power systems is the lith-
Sensors Wireless
so that there is a stable frequency ium coin cell. Although Zinc oxide and AFE Transceiver
reference for the radio—this can take batteries have a much higher energy
several milliseconds. Once the refer- density, once activated they have
ence is stable, the RF synthesizer is high leakage and so will self-dis- Figure 2: Block diagram of an ultra-low-
turned on and that itself needs to charge in just a few weeks, whereas power wireless system.
settle, and may require calibration.
Finally the main receiver can wake
up and listen for communication,
Active
then we have a turn-around period
as we switch from receive to trans- Sleep
mit (or vice versa), and after the data
exchange is completed there may be Time
5 1) XTAL Start-up
some final processing of received 3
data or commands before the radio 2) Synth. Cal
3) RX
can re-enter its sleep state. If the 2 4
1 6 4) Turn Around
average data rate is fairly low, then
5) TX
Figure 3 shows firstly that the radio
Active 6) Processing
on time may be dominated by wake
up and settling periods as much as
actual communication. Second, if the Figure 3: Duty cycling.

IEEE SOLID-STATE CIRCUITS MAGAZINE s p r i n g 2 0 15 19


capacity of the battery, when dis-
CR2032 LR44 PR44 Super
charged to a defined functional end-
Thin Film point, at a given constant discharge
(Coin) (Button) (Button) Capacitor
Technology Li/MnO2 MnO2 ZnO2 Li Thin Film Supercap current. Figure 5 shows that for a
CR2032 discharged down to a func-
Nominal
3.0 V 1.5 V 1.4 V 4.1 V 2.75 V tional end point voltage of 2 V, the
Voltage
total charge delivered will be 240 mAh
Capacity 240 mAh 153 mAh 620 mAh 2 mAh 0.6 mAh
when the constant discharge cur-
Volume 1 cm3 0.6 cm3 0.5 cm3 0.25 cm3 0.5 cm3 rent of 0.5 mA. However if the con-
Peak stant load current increases to 3 mA,
< 15 mA < 10 mA < 10 mA < 5 mA ~30 A
Current the battery capacity is degraded
Internal
10–40 Ω 1–5 Ω 2–8 Ω ~15 Ω < 0.02 Ω
to around 150 mAh. So clearly to
Resistance extract the maximum charge from
Self- 1%/yr 2%/yr High (Few 1%/yr 2 uA (Few the battery the constant discharge
Discharge (>10 Years) (5+ Years) Weeks) (> 10 Years) Days)
current—typically the sleep cur-
rent—should be minimized.
Figure 4: Characteristics of small batteries. What about the effect of pulsed
currents, since the goal is to oper-
ate in a duty-cycled mode? Figure 6
shows typical CR2032 discharge char-
3.2 acteristics for pulsed currents at a
0.5 mA 4% duty cycle; it can be seen that a
3.0
1 mA peak pulsed current of about 10 mA
2.8 2 mA
2.5 mA will degrade the battery capacity sig-
2.6 nificantly, and with 50 mA peak cur-
Voltage/V

3 mA
2.4 rent the battery capacity has dropped
2.2 to around half the 240 mAh rating.
2.0 FEP (Functional Clearly to maximize battery capac-
End Point) ity, both average and peak currents
1.8
should be minimized.
1.6 Manufacturers
0 40 80 120 160 200 240 Rating
Capacity/mAh Operating Frequency versus Range
In order to understand the optimal
 Even Modest Continuous Currents Can Affect Battery Capacity
carrier frequency for an ultra-low-
power system, Figure 7 states Friis’
Figure 5: Constant current discharge curves for a CR2032. equation for free space propagation,
expressed in dB. This expression
defines the ratio between the power
detected at the receiver antenna to
3.2 the power radiated from the trans-
4% Duty Cycle
3.0 mitter antenna, and suggests that to
10 mA
2.8 30 mA double the transmit range (d) without
50 mA increasing transmit power (Pt), then
2.6
Voltage/V

80 mA
the RF frequency should be halved
2.4
(i.e., the wavelength, m will double).
2.2
However it’s important to note that
2.0 FEP (Functional
End Point) this is only true if the antenna gains
1.8 (Gt, Gr) remain constant. For a fixed
1.6 Manufacturers antenna aperture—related to the
0 40 80 120 160 200 240 Rating antenna size—the gain will actually
Capacity/mAh
decrease as (1/m)2 ; since there are
 High Peak Currents Degrade Battery Capacity Even When Duty two antenna gains in Friis’ equa-
Cycles Are Short (Sleep Times Are Long) tion, then if the antenna aperture
 Low Average and Peak Currents Are Required is kept constant as the frequency
is decreased, the range will actually
Figure 6: Pulsed current discharge curves for a CR2032. decrease. Clearly, if the system is

20 s p r i n g 2 0 15 IEEE SOLID-STATE CIRCUITS MAGAZINE


size constrained, then the antenna have to operate within sections of wireless implementation. There are
dimension will be limited; assuming the frequency spectrum allocated to also worldwide ISM bands at 5, 24
that antenna dimensions are con- short range devices. Devices operat- and 60 GHz—these bands are cur-
strained to the cm range, it can be ing within these bands have to fol- rently not targeted for ULP wireless
shown that the low GHz region is a low certain rules defining maximum because the high frequencies
fairly “sweet spot” in terms of oper- transmit power, bandwidth and translate to high power consumption
ating frequency [1].
As a practical example, assume
transmit and receive antenna gains An ultra-low-power wireless system comprises
are –6 dB, operating frequency is
2.4 GHz, and line of sight range is
a number of functional blocks in addition to the
50 m. From Friis’ equation, the dif- wireless transceiver.
ference between the transmitted
power Pt and received power Pr is
calculated as 86 dB, which is known channel spacing, and duty cycle. The for the transceiver circuits, but it
as the link budget (since effectively aim of these rules is to ensure that is likely that further developments
it is the power lost over the wireless as many users as possible can coex- in technology and techniques will
link between the two antennas). As- ist within the same spectrum. change this. After all, even 10 years
sume first a receiver design that re- The actual spectrum allocated ago it was unthinkable that you
quires a received power Pr of at least for short range wireless devices could design a very low power wire-
−76 dBm for successful detection and tends to vary with geography; as less system operating at 2.4 GHz!
demodulation (this value is 6 dB bet- shown in Figure 8, most countries
ter than the minimum required by have allocation in the low hundreds Deep Asleep
the Bluetooth low energy standard). of MHz, 300 or 400 MHz, and also A very low power wireless system
Since the link budget is 86 dB, the allocation just below 1 GHz, around which has a low duty cycle may spend
transmitted power must be +10 dBm, 800/900 MHz. 2.4 GHz is a world- the majority of the time asleep.
which is 10 mW. Assuming the trans- wide band, and while this band is In this state, a major function
mitter efficiency is significantly less crowded, the simplicity of world- which remains operational is the
than 100%, we can conclude that the wide operation means that it is cur- sleep timer, which counts elapsed
peak power of our transmitter is go- rently very popular for low power time and produces an interrupt when
ing to be much greater than 10 mW
—not really consistent with an ultra-
low power wireless implementation.
So alternatively consider a receiver λ
20 log d = Gr (dB) + Gt (dB) + Pt (dBm) – Pr (dBm) + 20 log

which is 10 dB more sensitive such
that Pr = - 86 dBm. Increasing sen-
sitivity can usually be achieved with- Figure 7: Friis’ transmission equation.
out a significant overhead in power
consumption, especially if high qual-
ity passive devices are available. The
required transmitted power similarly 5.7 GHz, 24 GHz, 60 GHz...?
reduces to 0 dBm, or 1 mW. Even with
a modest transmit efficiency, peak EU
transmitter power can now be below 433/868 MHz
USA JP
10 mW, which is more in-line with the
315/433/915 MHz 315/426/950 MHz
stated low peak power requirements.
In summary for a given range and Asia
link budget, the aim should be to maxi- 315/433/780 MHz
mize receiver sensitivity. This enables
SA
the transmitted power to be reduced, 343/868 MHz AU/NZ
resulting in significant power savings 433/915 MHz
during transmitter operation.
Friis’ formula states how the
2.4 GHz—Worldwide
operating frequency affects the
achievable range, but in reality most
ultra-low-power wireless systems Figure 8: Allocated frequency bands for low power wireless.

IEEE SOLID-STATE CIRCUITS MAGAZINE s p r i n g 2 0 15 21


be the time error between when the
1000 ppm device was supposed to wake up,
5 500 ppm and when it actually does wake up.
Take the example of a sleep timer
Wake-Up Error/ms 4
reference with a modest tolerance of
3 around 500 ppm (allowing the use
250 ppm of a lower cost crystal reference).
2 Over a modest 4-second sleep time, a
500 ppm reference error will result in
1 100 ppm
a wake-up time error of ±2 ms. Either
50 ppm
0 20 ppm the device has woken up early, and
0 2 4 6 8 10 has to waste power waiting around
Sleep Time/s for the scheduled communication, or
it has woken up late and may have
Figure 9: Sleep time error versus timer accuracy. missed the communication event.
If cost is not a major driver,
then the solution is simply to use
the rest of the system needs to wake consumption, is the accuracy of the a very low tolerance, low frequency
up at the end of the sleep period. A oscillator reference. crystal reference, a typical watch
sleep timer is simply a low-power os- Figure 9 illustrates sleep time (or crystal. It is possible to implement
cillator and a counter, but the design counting period) against timing error, very low power crystal oscillator
of this low power oscillator is very for different accuracy references designs that consume just 10 s of
important in ultra-low-power wire- ranging from 20 ppm to 1,000 ppm. nanowatts of power [2], [3]. In many
less systems; the important design The longer the sleep time, or the less applications the additional cost of
parameter, in addition to nanopower accurate the reference, the larger will an accurate low power crystal is not
an option; instead, it may be prefer-
able to implement a very low power
on-chip sleep timer and calibrate
Ibias this device against a more accurate
frequency reference.
The major source of error in sleep
timer oscillation frequency will be
process variation; take the example
fosc
in Figure 10 of a current starved ring
oscillator whose frequency is deter-
Current-Starved Ring Oscillator; fosc = n/td ; td ~ 1/Ibias mined by the switching delay per
stage. This switching delay depends on
the absolute value of the bias current
Figure 10: Current-starved ring oscillator.
as well as the transistor threshold volt-
ages and the loading capacitance—all
of which vary with process—and can
lead to a large frequency offset. This
fOSC Count = N =? Count = M fREF frequency offset needs to be trimmed
by initial calibration, i.e., by tuning
Early/Late T = M ⋅ fREF = N ⋅ fOSC
the bias current until the desired fre-
1.E–01 quency is obtained.
Calibration Time (s)

fREF The sleep timer can be calibrated


1.E–02 Increasing fREF
8 MHz to correct for these frequency off-
1.E–03 16 MHZ sets due to process variation as
26 MHz shown in Figure 11. The calibration
1.E–04 40 MHz
circuit has two counters, one which
1.E–05 is clocked by the accurate reference
10 100 1000 oscillator and one clocked by the low
Required Accuracy, ppm power sleep oscillator. The counter
values are set such that if the sleep
Figure 11: Sleep oscillator calibration. oscillator is accurate, both counters

22 s p r i n g 2 0 15 IEEE SOLID-STATE CIRCUITS MAGAZINE


should reach their target count at
the same time. If the sleep counter When selecting a battery, it is important
terminates first then the sleep oscil- to understand the real battery discharge
lator is running fast (early), while
if the reference counter terminates
characteristics so that the optimal load current
first then the sleep oscillator is run- can be understood.
ning slow (late). This early/late flag
is used to trim the sleep oscillator,
and then the whole process reruns illustrated in Figure 12. In this im- Another popular receiver archi-
until the sleep oscillator reaches its plementation, a first variable local tecture is direct conversion, shown
target value. oscillator (LO) signal down-converts in Figure 13, where the LO frequency
The sleep oscillator can be trimmed the wanted RF signal to a fixed first is set to equal the wanted RF channel,
to within one period of the reference, intermediate frequency (IF), and then and so a single stage of frequency
over the total count time T. This gives a second down-conversion occurs us- conversion shifts the wanted chan-
a calibration accuracy which is the ra- ing a fixed LO to a second IF. The dual nel directly to 0 Hz baseband. Since
tio of the reference oscillator period conversion approach allows the first there is only one stage of frequency
tREF to the count period T. To increase IF to be high, which relaxes the front- conversion (mixing) and thus one
the accuracy in ppm, it is necessary end image filter requirements, while frequency synthesizer, this seems
either to increase the reference fre- the second IF is low, which simpli- attractive for low power implemen-
quency, or increase the calibration fies channel selection. Although the tation, and the first very low power
cycle time, or both. The resulting superheterodyne approach enables CMOS transceivers used this direct
tuning accuracy is only achieved in both good sensitivity and selec- conversion approach [4]. However
relation to the inherent accuracy of tivity, the penalty is a high power there are also disadvantages associ-
the reference. So calibrating to within consumption since the architecture ated with direct conversion. A major
50 ppm of a 50 ppm reference will needs two separate synthesizers to challenge is that direct frequency
give an overall sleep timer accuracy generate the LO signals. conversion requires quadrature LO
of ±100 ppm.
Each time a Calibration cycle is
completed, the Sleep Timer frequency
will be trimmed upwards or down-
wards until the target frequency is
fRF fIM
reached. How many bits of trimming
are needed? This will depend on the fIF1
initial frequency offset, and the fi- ADC
nal accuracy required. Assume we
start with an initial tolerance of
±20%, which gives an error range of fLO1 = fRF – fIF1 fLO2
400,000 ppm. The final target for
accuracy is to calibrate the sleep fRF
oscillator to within 100 ppm of
the reference. This requires a total Figure 12: Superheterodyne receiver architecture.
of log 2 (400, 000/100) = 12 bits of
tuning range to vary the oscillator
frequency—for example by trimming
the bias current in the current-starved fIF
ring oscillator. The overall total cali- fRF
bration time will thus depend on the
time T per calibration cycle, plus the
Demod

number of tuning bits and the tuning


algorithm selected.

Wireless System Architectures: N = 4 for Good LO


I Q
The Receiver N * fREF Quadrature
One of the first widely adopted re- PLL DIV N
ceiver architectures is the superhet-
erodyne or dual-conversion approach, Figure 13: Direct conversion receiver architecture.

IEEE SOLID-STATE CIRCUITS MAGAZINE s p r i n g 2 0 15 23


these benefits, the sliding IF archi-
RF tecture has recently become popular
IF1 =
N+1 as an optimal receiver architecture
for very low power wireless receiver

Demod
implementation [5]–[9].
A receiver architecture that has
 N  recently emerged for ultra-low-power
LO1 =  N + 1  RF implementation is the super-regener-
 
ative receiver illustrated in Figure 15
PLL DIVN (in practice this architecture was pro-
posed in the early 1920s around the
Figure 14: Sliding-IF receiver architecture. same time as the superheterodyne
receiver, but the superheterodyne
became dominant because of its su-
signals, which are usually gener- Furthermore the second frequency perior selectivity and flexibility). At
ated by running the frequency syn- conversion is usually complex, but the heart of the super-regenerative
thesizer at a higher frequency and choosing the divide ratio N to be a receiver is an oscillator which is
then dividing down to get signals multiple of two (or preferably four) tuned to oscillate at the RF input fre-
with a 90° phase shift. This requires allows the I and Q LO signals to be quency, and which is coupled to the
a divide ratio of at least two and ide- easily generated. For a given divide RF signal received at the antenna.
ally four, which means the phase- ratio N, the first LO will be N/ ^N + 1h This “super-regenerative oscillator”
locked loop (PLL) has to run at four times the RF frequency, or just (SRO) is also controlled by a second
times the RF frequency, with a cor-
responding high power penalty.
A receiver architecture suited
for low power implementation is Achieving a very low average power for a
the “sliding IF” architecture, which wireless system typically make extensive
inherits benefits from both the
superheterodyne and direct con- use of duty cycling.
version approaches. As shown in
Figure 14, this is a dual conversion
architecture, so again the image below the wanted frequency—this lower frequency quench oscillator
rejection is relaxed by selecting is in contrast to direct conversion, which as its name suggests, peri-
a suitably high first IF. The sec- where the PLL may have to generate odically quenches or damps the
ond mix brings the wanted signal two or four times the wanted RF in SRO signal causing the oscillations
down to a low or zero IF for channel order to get good quadrature. The to stop.
selection and demodulation. This second LO is then 1/ (N + 1) times When the quench oscillator re-
architecture differs from direct con- the RF, which thus shifts the wanted leases the SRO, the high frequency
version or superheterodyne in the signal down to 0 Hz. As the wanted SRO oscillations begin to build up.
generation of the LO signals. The RF frequency varies, so does the IF, If there is no RF input signal pres-
second LO is generated by an integer hence the name “sliding IF” since the ent, then the SRO has to start oscil-
divide of the first LO, so there is no position of the wanted signal at the lating entirely on its own and the
need for independent PLLs—essen- first mixer output “slides around” as amplitude of oscillations will build
tially the second LO comes “for free.” the RF channel varies. As a result of up slowly and will not have reached
a significant level before the quench
signal damps the process again.
However, if the SRO is released and
Input “1” an input RF signal at the SRO fre-
“0”
quency is present, the RF signal will
ASK/OOK couple into the SRO and force oscil-
Input Signal Quench
Superregenerative lations to build up very quickly be-
Oscillator (SRO) fore the quench signal damps them
Quench
Oscillator Output again. This architecture therefore
detects the presence or absence of
an input RF signal, so is used for de-
Figure 15: Super-regenerative receiver architecture. tection of an amplitude shift keyed

24 s p r i n g 2 0 15 IEEE SOLID-STATE CIRCUITS MAGAZINE


LNA Nonlinear Filter

Modulator
RFin
Rx fLO = N * fRF
Quench DIVN
Input
BAW
Figure 17: Direct conversion transmitter.

is it possible to avoid this problem


SRO
by having the VCO frequency track
the variable PA frequency? That way,
any PA coupling back into the VCO
would have no effect, and the VCO
Figure 16: Ultra-low-power super-regenerative receiver.
and PA could thus operate at har-
monically related frequencies, or
even at the same frequency.
or ON-OFF amplitude modulation oscillator (VCO) running at a har- This is the principle behind the
signal, as illustrated in Figure 16. monic of the RF frequency, this direct loop modulator shown in
The receiver architecture is simple, PA power coupling may cause fre- Figure 18; the idea is that the phase
comprising just an amplifier and quency “pulling” of the VCO, severely modulation signal is “injected” di-
oscillator, followed by a baseband degrading performance. VCO fre- rectly into the frequency synthe-
detector which is a simple envelope quency pulling arises because the PA sizer, so that rather than producing
detector, and a number of ultra-low-
power implementations have recent-
ly been proposed [10]–[15]. A recent
practical example of this approach The implementation of an ultra-low-power
demonstrated entire receiver power wireless system requires an integrated design
consumption of less than 0.5 mW for
a 5 kbps data rate [10]. approach that considers many requirements.

Wireless System Architectures:


The Transmitter output is a modulated signal; that is, a single frequency signal, the PLL
The most common approach to trans- it has a varying instantaneous fre- directly generates a modulated sig-
mitter implementation is to generate quency, while the VCO is at a fixed nal at the RF frequency, which is then
a modulated signal at baseband, then frequency. The varying PA frequency amplified by the PA for transmission.
up-convert to the RF frequency in a couples into the VCO and pulls it The entire transmitter is therefore
single stage as shown in ­Figure 17, away from its design value. However just a synthesizer and PA, which
i.e., direct up-conversion. A complex
mix is needed to avoid generating
a spurious signal at the image fre-
quency; thus we need quadrature VCO
LO signals. Similar to the case of /N LF
direct down-conversion, the simplest
way of doing this is to ­ implement
MMD PFD/CP fREF
a s­ynthesizer, which generates an
LO signal at two or four times the
RF, and then divide down to get accu-
rate quadrature. Σ∆MOD PM DAC
A major issue for transmitters is Phase
that the power amplifier (PA) output RF Channel Amplitude
power is high, and this modulated
signal may couple into other parts
of the circuit. If LO signals are gen-
erated from a voltage controlled Figure 18: Direct modulation transmitter architecture.

IEEE SOLID-STATE CIRCUITS MAGAZINE s p r i n g 2 0 15 25


the synthesizer loop; the net result is
Phase Mux that injecting the modulation at two
0 separate points in the loop allows
REF PFD 90 frequency modulation of the VCO at
LF /N
/CP 180
270 data rates which exceed the band-
width of the loop filter. The polar loop
MMD approach is attractive for low power
wireless implementation because
TX Data it simply requires the injection of
Modulation baseband modulation data into the
and Filtering
existing frequency synthesizer, and
all that is additionally required is
Figure 19: Direct phase modulation transmitter.
the PA. For this reason many recent
low power wireless transmitters have
enables an efficient and low power Since varying the divide ratio at been based on this approach [5]–[9].
implementation. a low frequency (within the band- Although the loop modulator is
The starting point is the fraction- width of the loop filter) will cause currently state of the art in terms
al-N sigma-delta synthesizer archi- the VCO frequency to vary, i.e., to of power consumption versus per-
tecture. This frequency synthesizer exhibit frequency modulation, this formance for commercial ultra-
architecture achieves a frequency step is exactly how the modulation sig- low power wireless systems, new
size, which is a fraction of the refer- nal can be “injected” directly onto approaches are being proposed to
ence frequency by switching the di- the VCO. The sigma-delta modula- try and push the power/performance
vide ratio of the multimodulus divid- tor has a second phase modulation envelope even further. One such
er between two different values; for input as shown in Figure 18 that approach shown in Figure 19 is direct
example, if we divide by 2,000 for 15 slowly controls the divide ratio such transmitter phase selection [16]–[19].
cycles and then divide by 2,001 for that the VCO frequency is not just The idea is that rather than injecting
one cycle, the average divide ratio is a pure tone but varies according to the phase modulation into the syn-
2000 + 1/16. In practice if we switch the input modulation signal. thesizer loop, a series of constant
between these two divide ratios at a The limitation of this approach is frequency VCO signals with defined
relatively slow rate, then frequency that any phase modulation injected phase offsets are generated. Modula-
“spurs” at this switching frequency at the feedback divider will get fil- tion of the transmitted signal is then
are superimposed on the VCO out- tered by the loop filter, thus the peak simplified to the control of a multi-
put, which is undesirable if the aim frequency deviation (and thus the plexer which selects the appropri-
is to generate a pure LO tone. The data rate) becomes limited by the ate signal phases in turn. For binary
solution is to dither between the two lop filter bandwidth. This limitation phase-shift keyed (PSK) modulation
divide ratios at a much higher fre- loop can be overcome by adding a only two phases are required (at 180°
quency, controlled by a sigma-delta second modulation injection point offset), while transmission of GMSK
modulator. The higher frequency directly at the VCO control node as requires four signals at 90° phase off-
switching noise is then filtered by shown in Figure 18. Modulation sig- set. Good quadrature signals can be
the loop filter, giving a much cleaner nals injected at this point are subject produced by running the VCO at four
VCO output spectrum. to a high pass characteristic through times the wanted RF and then divid-
ing by four, but clearly this is more
power hungry. Furthermore higher-
order phase modulation schemes
φ1 Phase Mux require an increased number of
In+ φ2 ILO @ 2.4 GHz phases. To overcome this problem,
φ3 researchers have looked at methods
Vin @ 800 MHz PPF to generate the phase offset signals
φ4
without requiring frequency multi-
In– plication and division, for example
Pulse
φ8 Slimmer the use of either polyphase filters
ΦILO = 3 * ΦPPF or delay locked loops to produce the
required multiple phases.
Dig BB
A hybrid approach shown in
Figure 20 further reduces power
Figure 20: Subharmonic direct phase modulated transmitter with injection locking. consumption by generating the RF

26 s p r i n g 2 0 15 IEEE SOLID-STATE CIRCUITS MAGAZINE


signal at a lower frequency and then
employing an injection locked oscil-
lator (ILO) [16]. In this example a poly-
VGA
phase filter (PPF) is used to generate
the required signal phases but at a
sub-harmonic frequency, in this case
one third of the wanted RF. A mul-
LOI Mixer LOQ
tiplexer is employed to synthesize
the modulated signal which is used
to drive an injection locked oscilla- LNA
tor tuned to the third harmonic, to
RFin
generate an output signal at 2.4 GHz.
A pulse slimmer is used to enhance
the third harmonic component of the
subharmonic signal, which increases
the injection locking efficiency.

Circuit Architectures
With an appropriate system archi- Figure 21: Current-reuse receiver architecture.
tecture selected, appropriate low
power circuit implementations are
required. “Good practice” for low consumption is a major driver you blocks are effectively “cascoded”
power wireless circuit implementa- should ensure your process has by stacking them on top of each
tion should consider the following good RF passives available. other to share a single bias current
general goals: Current reuse is an interesting [20]–[21]. Figure 21 shows a direct
■■ Reduce the maximum frequency circuit technique for low power cir- conversion receiver front-end where
at which the circuits need to cuit implementation. In a typical the LNA, mixer, and filter blocks are
operate, since circuits operating wireless system, each of the various all stacked on top of each other and
at lower frequencies can get away circuit blocks (LNA, mixers, filters, share a single bias current. A similar
with smaller bias currents etc.) is designed independently and current-reuse approach has also been
■■ Circuits which do need to oper- then connected to its neighbor cir- proposed for VCO design as shown in
ate at RF should be as simple as cuits in a “cascade” arrangement. Figure 22 [22]–[24]. Both these tech-
possible; minimize the number of Current reuse reverses this approach niques can lead to an overall reduc-
components and instead of cascading, the circuit tion in total power consumption.
■■ Operate with very low supply

voltages to reduce overall power


consumption, provided your
system can implement efficient
dc-dc conversion
■■ Designing a circuit to get good

performance across temperature,


voltage, and process typically
requires an element of “overde-
sign,” which means that devices
are larger and take more current
then is really required at nominal,
to ensure they still perform at the
corner extremes. To avoid this,
make use of digital calibration
which ensures circuits are only
biased to be “good enough” at the
Conventional
particular P, V, T operating point.
Cross-Coupled Current Re-Use Practical
■■ Make use of high quality passives;
VCO VCO Implementation
lossy inductors and capacitors need
to be compensated by much higher
bias currents, and so if power Figure 22: Current-reuse voltage-controlled oscillator.

IEEE SOLID-STATE CIRCUITS MAGAZINE s p r i n g 2 0 15 27


Summary Solid-State Circuits Conf. Dig. Technical [20] Z. Lin, P.-I. Mak, and R. P. Martins, “A
Papers (ISSCC), 2010, pp. 464–465. 2.4 GHz ZigBee receiver exploiting an RF-
To minimize operating power and [8] G. Devita, A. C. W. Wong, M. Dawkins, K. to-BB-current-reuse Blixer + hybrid filter
achieve maximum battery lifetime, Glaros, U. Kiani, F. Lauria, V. Madaka, O. topology in 65 nm CMOS,” IEEE J. Solid-
Omeni, J. Schiff, A. Vasudevan, L. Whita- State Circuits, vol. 49, no. 6, pp. 1333–
the implementation of an ultra-low- ker, S. Yu, and A. Burdett, “A 5mW multi- 1344, 2013.
power wireless system requires an standard Bluetooth LE/IEEE 802.15.6 SoC [21] T. Song, H.-S. Oh, E. Yoon, and S. Hong,
for WBAN applications,” in Proc. 40th “A low-power 2.4-GHz current-reused
integrated design approach that con- European Solid State Circuits Conf. (ESS- receiver front-end and frequency source
siders many requirements includ- CIRC), 2014, pp. 283–286. for wireless sensor network,” IEEE J. Solid-
[9] F. Pengg, D. Barras, N. Scolari, and A. Vouil- State Circuits, vol. 42, no. 5, pp. 1012–
ing battery source, active and sleep loz, “A low power miniaturized 1.95 mm2 1022, 2007.
mode energy requirements, system fully integrated transceiver with fastPLL [22] J. Shi, J. Pandey, and B. P. Otis, “A
mode for IEEE 802.15.4/Bluetooth smart 1.5 GHz high-Q receiver based on cur-
architectures, and circuit implemen- and proprietary 2.4GHz applications,” in rent reuse,” in Proc. 2011 IEEE Int. Symp.
tations. In practice, these issues lead Proc. IEEE RFIC Symp., 2013, pp. 71–74. Circuits and Systems (ISCAS), 2011,
[10] B. Otis, Y. H. Chee, and J. Rabaey, “A 400 pp. 1800–1803.
to trade-offs, which may require nu- μW-RX, 1.6mW-TX super-regenerative trans- [23] W. Ying, P. Qin, J. Jin, and T. Mo, “A
merous iterations to arrive at an op- ceiver for wireless sensor networks,” in 1 mW 5 GHz current reuse CMOS VCO
IEEE Int. Solid-State Circuits Conf. Dig. Tech- with low phase noise and balanced
timal solution for the desired appli- nical Papers (ISSCC), 2005, pp. 396–606. differential outputs,” in Proc. 13th Int.
cation. With the recent explosion of [11] M. Vidojkovic, S. Rampu, K. Imamura, P. Symp. Integrated Circuits (ISIC), 2011,
Harpe, G. Dolmans, and H. de Groot, “A pp. 543–546.
interest in ultra-low-power wireless 500uW 5Mbps ULP super-regenerative RF [24] T. Siriburanon, W. Deng, K. Okada, and
systems for the Internet of Things front-end,” in Proc. ESSCIRC, 2010, pp. A. Matsuzawa, “A current-reuse Class-C
462–465. LC-VCO with an adaptive bias scheme,”
and wearable devices, the current [12] F. X. Moncunill-Geniz, P. Pala-Schon- in Proc. IEEE Radio Frequency Inte-
rate of innovation in the develop- walder, C. Dehollaini, N. Joehl, and M. grated Circuits Symp. (RFIC), 2013, pp.
Declercq, “An 11-Mb/s 2.1-mW synchro- 35–38.
ment of ultra-low-power wireless nous superregenerative receiver at 2.4
systems is sure to continue. GHz,” IEEE Trans. Microwave Theory Tech.,
vol. 55, no. 6, pp. 1355–1362.
[13] C. Ma, C. Hu, J. Cheng, L. Xia, and P.Y.
About the Author
References Chiang, “A near-threshold, 0.16 nJ/b OOK- Alison Burdett (Alison.Burdett@
[1] D. C. Yates, A. S. Holmes, and A. J. Burdett, transmitter with 0.18 nJ/b noise-cancel-
“Optimal transmission frequency for ling super-regenerative receiver for the
toumaz.com) has over 25 years of
ultralow-power short-range radio links,” medical implant communications ser- experience in semiconductor design,
IEEE Trans. Circuits Syst. I, vol. 51, no. 7, vice,” IEEE Trans. Biomed. Circuits Syst.,
pp. 1405–1413, 2004. vol. 7, no. 6, pp. 841–850, 2013.
particularly in the field of ultra-low-
[2] W. Thommen, “An improved low power [14] K. Kim, S. Yun, S. Lee, and S. Nam, “Low- power wireless communication. She
crystal oscillator,” in Proc. 25th European power CMOS super-regenerative receiver
Solid-State Circuits Conf. (ESSCIRC’99), with a digitally self-quenching loop,” IEEE
joined Toumaz in 2001 as technical
1999, pp. 146–149. Microwave Wireless Components Lett., vol. director, and is currently chief tech-
[3] D. Ruffieux, “A high-stability, ultra-low- 22, no. 9, pp. 486–488, 2012.
power quartz differential oscillator cir- [15] H.-G. Park, J. Lee, J.-a Jang, J.-H. Jang, D.-S.
nology officer, responsible for deliv-
cuit for demanding radio applications,” Lee, H. Kim, S. J. Kim, S.-G. Lee, and K.-Y. ering silicon and healthcare technolo-
in Proc. 28th European Solid-State Circuits Lee, “An ultra-low-power super regenera-
Conf., ESSCIRC 2002, pp. 85–88. tion oscillator-based transceiver with 177
gy programmes within the company.
[4] A.-S. Porret, T. Melly, E. A. Vittoz, and C. mW leakage-compensated PLL and auto- Prior to joining Toumaz, Alison spent
C. Enz, “Tradeoffs and design of an ultra matic quench waveform generator,” IEEE
low power UHF transceiver integrated in a Trans. Microwave Theory Tech., vol. 61,
time both in industry as an integrated
standard digital CMOS process,” in Proc. no. 9, pp. 3381–3390, 2013. circuit designer, and also in academia
2000 Int. Symp. Low Power Electronics and [16] M. Rahman, M. Elbadry, and R. Harjani, “A
Design (ISLPED’00), 2000, pp. 273–278. 2.5 nJ/bit multiband (MBAN & ISM) trans-
(as senior lecturer in analogue IC de-
[5] A. C. W. Wong, M. Dawkins, G. Devita, N. mitter for IEEE 802.15.6 based on a hybrid sign at Imperial College London). Dr.
Kasparidis, A. Katsiamis, O. King, F. Lau- polyphase-MUX/ILO based modulator,” in
ria, J. Schiff, and A. J. Burdett, “A 1 V 5 Proc. IEEE Radio Frequency Integrated Cir-
Burdett is a Chartered Engineer, a fel-
mA multimode IEEE 802.15.6/Bluetooth cuits Symp., 2014, pp. 17–20. low of the Institute of Engineering
low-energy WBAN transceiver for biote- [17] Y.-H. Liu, C.-L. Li, and T.-H. Lin, “A 200-
lemetry applications,” IEEE J. Solid-State pJ/b MUX-based RF transmitter for
and Technology, and a Senior Mem-
Circuits, vol. 8, no. 1, pp. 186–198, 2013. implantable multichannel neural record- ber of the IEEE. She was European Re-
[6] Y.-H. Liu, X. Huang, M. Vidojkovic, A. Ba, ing,” IEEE Trans. Microwave Theory Tech.,
P. Harpe, G. Dolmans, and H. de Groot, “A vol. 57, no. 10, pt. 2, pp. 2533–2541, 2009.
gional Chair (2013–2015) of the Tech-
1.9nJ/b 2.4GHz multistandard (Bluetooth [18] S. Diao, Y. Zheng, Y. Gao, S.-J. Cheng, X. nical Programme Committee for the
Low Energy/Zigbee/IEEE802.15.6) trans- Yuan, M. Je, and C.-H. Heng, “A 50-Mb/s
ceiver for personal/body-area networks,” in CMOS QPSK/O-QPSK transmitter employ-
IEEE International Solid-State Circuits
IEEE Int. Solid-State Circuits Conf. Dig. Tech- ing injection locking for direct modula- Conference (ISSCC). She is a member
nical Papers (ISSCC), 2013, pp. 446–447 tion,” IEEE Trans. Microwave Theory Tech.,
[7] E. Le Roux, N. Scolari, B. Banerjee, C. Arm, vol. 60, no. 1, pp. 120–130, 2012.
of the U.K. National Microelectronics
P. Volet, D. Sigg, P. Heim, J.-F. Perotto, F. [19] S.-J. Cheng, Y. Gao, W.-D. Toh, Y. Zheng, M. Institute Microelectronics Design Ad-
Kaess, N. Raemy, A. Vouilloz, D. Ruffieux, Je, and C.-H. Heng, “A 110pJ/b multichan-
M. Contaldo, F. Giroud, D. Severac, M. nel FSK/GMSK/QPSK/p/4-DQPSK transmit-
visory Board and a visiting research-
Morgan, S. Gyger, C. Monneron, T.-C. Le, ter with phase-interpolated dual-injection er at the Institute of Biomedical Engi-
C. Henzelin, and V. Peiris, “A 1V RF SoC DLL-based synthesizer employing hybrid
with an 863-to-928MHz 400kb/s radio FIR,” in IEEE Int. Solid-State Circuits Conf.
neering, I­mperial College.
and a 32b Dual-MAC DSP core for wireless Dig. Technical Papers (ISSCC), 2013, pp.
sensor and body networks,” in IEEE Int. 450–451. 

28 s p r i n g 2 0 15 IEEE SOLID-STATE CIRCUITS MAGAZINE

Você também pode gostar