Você está na página 1de 17

ELECTRÓNICA INDUSTRIAL

BOLETÍN 6 (Soluciones)

DISEÑO SECUENCIAL Y MÁQUINAS DE ESTADO

7 November 2011
ELECTRÓNICA INDUSTRIAL BOLETÍN 6 (Soluciones)

DISEÑO SECUENCIAL Y MÁQUINAS DE ESTADO

1.- La figura muestra un biestable D disparado por flanco con entradas asíncronas.
Hallar la forma de onda de Q para las entradas que se dan.

CLK
A

PR q B
D
CLK _ A
q
CL
D
B

SOLUCIÓN

CLK

2.- (Junio 2.000-2.001, cuestión 2/10) Dado el circuito de la figura y suponiendo


que inicialmente Q1 = Q2 = 0 y que X = 1, determine la evolución temporal de las
salidas Q1 y Q2 suponiendo que los dispositivos son ideales.
+5V

q1 q2
D1 D2
q1 q2

Reloj 1
x Reloj 2

2 7 November 2011
ELECTRÓNICA INDUSTRIAL BOLETÍN 6 (Soluciones)

DISEÑO SECUENCIAL Y MÁQUINAS DE ESTADO

SOLUCIÓN
a) Dispositvos ideales:

RELOJ1

Q1 = D2
Como antes de que llegue el flanco activo
RELOJ2 de RELOJ2 la entrada D2 estaba a cero, la
salida del segundo biestable se mantiene
a cero.
Q2

3.- Analice el circuito de la figura, obteniendo su evolución en el tiempo para las


entradas que se muestran sabiendo que todos los elementos son ideales y no
tienen retraso.
clear
clear clear
x
q1 q1 z
a D D
q1 q1

clk

clk

clear

3 7 November 2011
ELECTRÓNICA INDUSTRIAL BOLETÍN 6 (Soluciones)

DISEÑO SECUENCIAL Y MÁQUINAS DE ESTADO

SOLUCIÓN

clk

clear

4.- Un sistema recibe datos secuencialmente a través de una entrada X. Diseñe un


circuito que proporcione salida Z=1 cuando se hayan recibido 1’s sobre X
durante 3 o más intervalos consecutivos de reloj.
Obtenga dos diseños alternativos:
a) como autómata de Moore.
b) como autómata de Mealy.

SOLUCIÓN
a) Autómata de Moore
1 Entradas: X
1 Salidas: Z
0 1
0
0 0 2
0
0 0

0 3 1
1
1

b) Autómata de Mealy:
Entradas: X
1/0 1 Salidas: Z
1/0
0/0
0/0
0
0/0
2
1/1

4 7 November 2011
ELECTRÓNICA INDUSTRIAL BOLETÍN 6 (Soluciones)

DISEÑO SECUENCIAL Y MÁQUINAS DE ESTADO

5.- (Septiembre 01/02. 2 puntos) Diseñe un circuito secuencial con una entrada de
datos X que produzca salida 1 durante un ciclo de reloj cuando la secuencia de
los tres últimos valores de la entrada sean:111,110 ó 000.

SOLUCIÓN
Si enfrentamos el problema como una máquna de Mealy, ésta sólo tendrá que memorizar los
dos últimos bits recibidos, y decidir la salida en función de la entrada actual. Esto nos da un
mínimo de cuatro estados, los necesarios para “recordar” las cuatro combinaciones de dos bits.
Sin embargo, es habitual incluir unos estados en los que se prepara la máquina para los momen-
tos iniciales en los que aún no han llegado los dos bits:
A: Estado inicial. Aún no se ha recibido nada.
B: Recuerda que se ha recibido un “1”.
C: Recuerda que se ha recibido un “0”.
D: Recuerda que se ha recibido un “11”.
E: Recuerda que se ha recibido un “10”.
F: Recuerda que se ha recibido un “01”.
G: Recuerda que se ha recibido un “00”.

A Entrada: x
1/0 0/0 Salida: z

B C
1/0 0/0 1/0 0/0
0/0
1/0
1/1 D E F G 0/1
0/1 1/0

1/0 0/0

6.- Diseñe un detector de la cadena 1001, para un circuito que recibe grupos de
cuatro bits por una línea. Resuelva el mismo problema si el circuito ha de
producir salida 1 cuando detecte las secuencias 1100 ó 0011.

SOLUCIÓN
a) Detectar la secuancia 1001.
Puesto que el circuito recibe grupos de cuatro bits, necesitaremos dos grupos de estados. El
primero de ellos se empleará para recordar la llegada de cada uno de los bits de la secuencia
correcta. Puesto que son 4 bits y a que vamos a emplear una máquina de Mealy, se necesitan 3
estados. De forma parecida, usaremos 3 estados más para “recibir” los bits de cualquier secuen-
cia incorrecta. Finalmente, necesitaremos un estado más como estado inicial.
I: Estado inicial. Aún no se ha recibido nada.
A: Se ha recibido el primer bit correcto “1”.
B: Se ha recibido el segundo bit correcto “10”.
C: Se ha recibido el tercer bit correcto “100”.
D: Se ha recibido el primer bit incorrecto.
E: Se ha recibido el segundo bit incorrecto.
F: Se ha recibido el tercer bit incorrecto.

5 7 November 2011
ELECTRÓNICA INDUSTRIAL BOLETÍN 6 (Soluciones)

DISEÑO SECUENCIAL Y MÁQUINAS DE ESTADO

Entrada: x
1/1, 0/0 0/0 Salida: z
0/0
1/0 A B C
1/0 1/0
I

0/0 -/0 -/0


D E F
-/0

b) Análogamente, para una secuencia arbitraria x1x2x3x4.


Entrada: x
x4/1, x4/0 x2/0 x3/0 Salida: z
x1/0 A B C
x2/0 x3/0
I

x1/0 -/0 -/0


D E F
-/0

7.- Una información es correcta si el número de ceros consecutivos es par y el de


unos consecutivos impar. La información se envía por una única línea. Diseñe
un circuito que proporcione una salida igual a 1 siempre que se detecte una
discrepancia en el comportamiento descrito.
Ejemplo:
IN: 1 0 0 0 1 0 0 1 1 0 1 1
OUT: 0 0 0 0 1 0 0 0 0 1 1 0

Obtenga dos diseños alternativos:


a) como autómata de Mealy.
b) como autómata de Moore.

SOLUCIÓN
a) Como autómata de Mealy.
A: Estado inicial. Aún no se ha recibido nada.
B: Se ha recibido un número impar de ceros.
C: Se ha recibido un número par de ceros.
D: Se ha recibido un número impar de unos.
E: Se ha recibido un número par de unos.

6 7 November 2011
ELECTRÓNICA INDUSTRIAL BOLETÍN 6 (Soluciones)

DISEÑO SECUENCIAL Y MÁQUINAS DE ESTADO

0/0 Entrada: x
Salida: z
0/0 B C
0/0
1/1 1/0
A 0/0
0/1
1/0 1/0
D E
1/0
b) Como autómata de Moore:
A: Estado inicial.
B: Recibido un número impar de ceros.
C: Recibido un número par de ceros.
D: Recibido un número impar de unos.
E: Recibido un número par de unos.
F: Recibido un bloque con un número impar de ceros.
G: Recibido un bloque con un número par de unos.
Entradas: X
A
1 Salidas: Z
0 0
D B
0 1 0 0
1
1 1 0
0
E
C
0
1 0
0 0

G 1 F
1 1
0

8.- (Junio 97/98. 3/10) Se desea diseñar el controlador de una puerta automática
de garaje. El sistema cuenta con un motor que puede ser activado en ambas
direcciones (entrada A para Abrir, y C para Cerrar), un par de sensores final de
carrera (F y G), un sistema de llave electrónica por radiofrecuencia y el
controlador.
El sistema de llave electrónica activa su salida L cuando el usuario pulsa un
botón de su mando a distancia. El final de carrera F está situado de forma que
se active cuando la puerta está cerrada completamente; en cambio, el sensor G
se activa cuando la puerta está completamente abierta.
El funcionamiento del sistema es como sigue: supongamos la puerta
inicialmente cerrada. Cuando se pulsa el botón del mando a distancia, la puerta

7 7 November 2011
ELECTRÓNICA INDUSTRIAL BOLETÍN 6 (Soluciones)

DISEÑO SECUENCIAL Y MÁQUINAS DE ESTADO

comienza a abrirse. Cuando ésta llega al final (se activa G), procede a cerrarse.
Cuando se activa F, se para el motor. Si mientras la puerta está en movimiento,
el usuario vuelve a pulsar su mando a distancia, la puerta se para en la posición
en la que esté, en espera de que se pulse de nuevo el mando a distancia. En
ese caso, la puerta procede a cerrarse.
Se pide:
a) Diseñar el diagrama de estados de una máquina de Moore para el
controlador.
b) Minimizar dicha máquina y obtener un buen asignamiento.
c) Implementarla usando biestables D y puertas NAND.

SOLUCIÓN
a) Según el enunciado se observa que, desde el punto de vista del
controlador, tenemos tres entradas (F, G y L) y dos salidas (A y C).
Supondremos todas las líneas activas en alta. Por simplicidad,
supondremos también que cuando L se activa, sólo lo hace durante un
ciclo de reloj.

Supondremos un estado inicial en el que la puerta está cerrada y en reposo, y, a


partir de ahí, construiremos la máquina.
x00
Entradas: FGL
b Salidas: AC
10 xx1
xx1

a x10 d
xx0 xx0
00 0x1 00
1xx
c xx1
01
0x0

a: Estado inicial. Máquina en reposo. La puerta está cerrada y no se ha actuado


sobre el mando.

b: Puerta abriéndose. El usuario ha pulsado el mando, por lo que se activa el


motor en dirección de apertura, hasta llegar al final (se activa G) o se pulse el
mando de nuevo (L). Notar que se le da preferencia al mando en caso de que
ambos ocurran simultáneamente.

c: Puerta cerrándose. La puerta ha llegado al final de la fase de apertura, o bien


el usuario ha pulsado el mando para parar (una vez) y otra vez para cerrar. Se
activa el motor en dirección de cierre hasta que el usuario pulse el mando (para
parar), o se llegue a cerrar completamente (se activa F). Notar que aquí se le da
preferencia al cerr30ado completo, antes que a la acción del mando a distancia,

8 7 November 2011
ELECTRÓNICA INDUSTRIAL BOLETÍN 6 (Soluciones)

DISEÑO SECUENCIAL Y MÁQUINAS DE ESTADO

en caso de que ambos eventos ocurran simultáneamente.

d: Puerta parada. El usuario, bien durante la fase de apertura, bien durante la


fase de cierre, ha pulsado el mando a distancia para dejar la puerta parada. De
este estado se sale sólo si se vuelve a pulsar el mando a distancia. La acción a
tomar entonces es la de cerrar (independientemente de si antes se estaba
abriendo o cerrando).

9.- (Primer parcial 98/99. 3/10) La figura representa un sistema que controla el
sentido de giro de un motor paso a paso.

1
Bobina1
Circuito Bobina2 Amplificadores
D de control Bobina3 de intensidad
3 4
Bobina4

CLK 2

Un motor paso a paso es un tipo especial de motor que no gira de forma


continua, sino a saltos. Para hacer girar el motor en un determinado sentido hay
que alimentar las bobinas 1, 2, 3 y 4 en un determinado orden.

SENTIDO CONTRARIO A LAS AGUJAS DEL RELOJ (D=0):

CLK

Bobina 1

Bobina 2

Bobina 3

Bobina 4

9 7 November 2011
ELECTRÓNICA INDUSTRIAL BOLETÍN 6 (Soluciones)

DISEÑO SECUENCIAL Y MÁQUINAS DE ESTADO

SENTIDO DE LAS AGUJAS DEL RELOJ (D=1):

CLK

Bobina 1

Bobina 2

Bobina 3

Bobina 4

Cada vez que se completa una de estas secuencias, el motor da una vuelta.
Diseñe el circuito de control empleando biestables y puertas lógicas.

SOLUCIÓN
Como las salidas cambias de forma síncrona con la señal de reloj, la realización más sencilla
es mediante un autómata de Moore.

Una inspección de los diagramas temporales muestran que las señales se repiten cada 4 ciclos
de reloj y sólo hay 4 combinaciones distintas de salida, por tanto, un diagrama de 4 estados será
suficiente.

Identificando cada estado con una posible combinación de salida, tendremos el siguiente dia-
grama de estados:

A B
0
1000 1 0010
S 1 0
B1B2B3B4 1
0
D 1 C
0001 0 0100

10.- (Junio 01/02. 2 puntos) En una travesía de escaso tránsito peatonal se ha


instalado un semáforo para que los alumnos de una escuela cercana puedan
pasar sin peligro y, al mismo tiempo, no interrumpir demasiado el tráfico rodado.
Del lado de los vehículos, el semáforo dispone de los discos luminosos rojo,
ámbar y verde. Del de peatones dos iconos luminosos, uno rojo de persona
parada y otro verde de persona caminando. Finalmente, se dispone de un
pulsador P de solicitud de paso, con su correspondiente indicador luminoso con
la leyenda “Espere verde” (ver figura). Un ciclo completo del semáforo será
como sigue:

10 7 November 2011
ELECTRÓNICA INDUSTRIAL BOLETÍN 6 (Soluciones)

DISEÑO SECUENCIAL Y MÁQUINAS DE ESTADO

• Un mínimo de 60 segundos en verde para vehículos y rojo para peatones.


• 5 segundos en ámbar para vehículos y rojo para peatones.
• 15 segundos en rojo los vehículos y verde los peatones.
Si no hay peatones esperando, lo normal es que el semáforo de vehículos esté
siempre en verde (el de peatones en rojo, por tanto). Este comportamiento
cambiará en cuanto un peatón accione el pulsador. En ese caso se sucede lo
siguiente:
• Se enciende la leyenda “Espere verde”.
• Si el semáforo lleva menos de 60 segundos en verde, se esperará hasta
que se complete dicho tiempo.
• Se activa el ciclo ámbar durante 5 segundos.
• Se desactiva la leyenda “Espere verde” y el ciclo rojo durante 15
segundos.
• Se iniciará un nuevo ciclo verde.
Suponiendo que se dispone de dos señales de reloj CLK1 y CLK2 de 50 Hz y 0’2
Hz respectivamente, se pide:
a) Obtenga el diagrama de estados de CS1, una máquina de Moore que
activa la señal E (Espere Verde) cuando el peatón activa el pulsador, y
que se desactiva cuando se enciende el semáforo verde para peatones.
b) Obtenga el diagrama de estados de CS2, una máquina de Moore que
gestiona el ciclo completo del semáforo.

CS1 CS2

P R1
A1
E E V1
V2
CLK1 R2
CLK2 V2
CLK1
CLK2
ESPERE
VERDE

SOLUCIÓN
a) El CS1 tiene como entradas P y V2, y E como salida. P es el pulsador que el peatón activa
para solicitar el paso; V2 es la señal que controla el icono verde del semáforo de peatones; y E
es la señal que controla la iluminación de la leyenda “Espere verde”.
P = 1: Botón pulsado.
V2 = 1: Semáforo verde de peatones encendido.
E = 1: Leyenda “Espere verde” encendida.

11 7 November 2011
ELECTRÓNICA INDUSTRIAL BOLETÍN 6 (Soluciones)

DISEÑO SECUENCIAL Y MÁQUINAS DE ESTADO

La máquina secuencial CS1 tiene sólo dos estados: R (Reposo) y S (Solicitud de paso). Por de-
fecto está en el estado R. Cuando se activa P, se cambia a S, iluminando el letrero. En ese estado
se permanece hasta que se enciende la luz verde de peatones (V2=1).
11 Entradas: PV2
10 Salida: E
R S
0- 0 -0
-1 1

b) El CS2 tiene como entrada la señal de petición de paso, que coincide con la de “Espere
verde”. Notar que CS1 se encarga que esta señal se mantenga activa a pesar de que el peatón
suelte el pulsador. Las salidas son las luces de ambos semáforos, vehículos y peatones.
Puesto que la señal de reloj es de 1/5 Hz, se produce un ciclo de reloj cada 5 segundos. Esto
nos lleva a que el semáforo debe estar en verde al menos 12 ciclos de reloj (60 segundos entre
5 segundos por ciclo), en ámbar 1 ciclo y en rojo 3. Además, en verde debe estar como mínimo
12 ciclos. En caso de que se solicite paso antes de que se cumpla ese tiempo, no se atenderá la
solicitud hasta transcurrido dicho intervalo. En cambio, si después de los 60 segundos no se
pide paso, el semáforo sigue en verde hasta que se pida; en estas condiciones la petición se sat-
isface inmediatamente. Supongamos que las luces se activan con lógica positiva:
Entrada: E
Salidas: R1A1V1R2V2

V1 - V2 - V3 - V4 - V5 - V6 - V7
00110 00110 00110 00110 00110 00110 00110
- -
R3 V8
10001 00110
- 0 -
R2 R1 A V12 V11 V10 V9
10001 - 10001 - 01010 1 00110 - 00110 - 00110 - 00110

11.- [Septiembre 04/05] Con objeto de construir un sistema de llave electrónica, se


desea diseñar un circuito capaz de comparar los datos que se introducen por
una línea serie con los datos almacenados en un registro. Los datos vienen en
grupos de 4 bits.
a) Obtenga el diagrama de estados como máquina de Mealy de un circuito
que compare grupos de 4 bits recibidos secuencialmente por dos líneas
serie. La salida se pondrá a “1” durante un ciclo de reloj cuando
comparados los cuatro bits, éstos sean iguales. No tenga en cuenta el
problema de sincronización, es decir, de cómo sabe el circuito cuál es el
primer bit, el segundo, etc. ; esto se hará con otro circuito diferente
fuera del ámbito de este examen.

12 7 November 2011
ELECTRÓNICA INDUSTRIAL BOLETÍN 6 (Soluciones)

DISEÑO SECUENCIAL Y MÁQUINAS DE ESTADO

SOLUCIÓN
a) Diagrama de estados como máquina de Mealy:
Como nos dicen que el circuito recibe grupos de cuatro bits por dos líneas serie, esto significa
que tiene dos entradas. Además tendrá una única salida. En el diagrama de estados se deberá ir
comparando los datos que van llegado por las dos entradas. Primero se comparan los dos
primeros bits (estado a); si ambos datos son iguales se siguen comparando los dos segundos
(estado b), pero si los dos primeros bits son diferentes, ya los grupos de cuatro bits son difer-
entes, luego se seguirá el camino por los estados e, f y g en los que sólo se esperará a que llegue
un nuevo grupo de cuatro bits.Sólo si los datos son iguales se llegará al estado “d” y la salida
será “1”. El diagrama resultante es:

11/1, 00/1, 01/0, 10/0


Entradas: xy
Salida: z 00/0 00/0
00/0 11/0 11/0
11/0 b c d

01/0 01/0
a 01/0 10/0 10/0
10/0
--/0 --/0
e f g
--/0

12.- [Septiembre 07/08. 3/10] Diseñe un circuito que, en función de los valores de
dos señales de control, genere una señal digital periódica. El comportamiento
se muestra en la siguiente figura:

C1C0 CLKO
00
CLKI CLKO 01
C1 C0 10
11
CLKI
NOTA: Si se detecta un cambio en las señales de control en ciclos intermedios, se deberá ir
al ciclo inicial.

a) Obtenga el correspondiente diagrama de estados como máquina de


Moore (con el menor número de estados).

SOLUCIÓN
Antes de comenzar a resolver el problema hay que identificar entradas y
salidas. En este problema éstas se indican en el símbolo a la izquierda de la
evolución temporal. El circuito tiene dos entradas y una salida (además de la
señal de reloj).
a) Diagrama de estados como máquina de Moore.

13 7 November 2011
ELECTRÓNICA INDUSTRIAL BOLETÍN 6 (Soluciones)

DISEÑO SECUENCIAL Y MÁQUINAS DE ESTADO

El circuito debe generar en su salida una señal periódica que depende del valor
de las dos entradas. Al ser autómata de Moore el valor de la salida está
asociado al estado. La forma de obtener el diagrama de estados es ir creándolo
para cada combinación de valores de entrada.
Si la entrada estuviera siempre a “00”, el diagrama de estados sería:

00 C1C0
a b S
1 00 0 clko

A este diagrama de estados hay que añadirle el comportamiento cuando la


entrada esté a “01”
01 C1C0
00 01 01 01 01
a b c d e f S
1 0 1 1 0 0 clko
00
01

Se sigue el mismo procedimiento para añadir el comportamiento de las dos


combinacines de entrada restante. Hay que intentar aprovechar los estados ya
existentes, para así obtener un diagrama de estados con el menor número de
estados. Una solución es:
01 10
11
10 10 10 C1C0
00 01 01 01 01
a 01 b c d 11 e f S
1 0 1 1 0 0 clko
00

10 g 10
11 1

La solución mostrada en el diagrama de estados muestra las transiciones y las


condiciones de transición cuando las señales de entrada se mantienen
constantes.

14 7 November 2011
ELECTRÓNICA INDUSTRIAL BOLETÍN 6 (Soluciones)

DISEÑO SECUENCIAL Y MÁQUINAS DE ESTADO

13.- [Dic 03/04] En muchos sistemas es útil el empleo de señales de ancho de pulso
modulado. Estas señales se caracterizan porque, manteniendo una frecuencia
fija, varía el porcentaje del tiempo que la señal está a ‘1’. Se desea diseñar un
circuito secuencial que, en función de una entrada de 2 bits, genere una señal
de ancho de pulso modulado siguiendo el siguiente esquema de
funcionamiento:

clk

entradas = “00” -> salida

entradas = “01” -> salida

entradas = “10” -> salida

entradas = “11” -> salida

Se pide:
a) Realice el diagrama y la tabla de estados de este circuito como
máquina de Moore.
b) La funcionalidad propuesta en el enunciado puede conseguirse, de una
forma alternativa, mediante el empleo de un contador módulo 5 y un
circuito combinacional según el siguiente esquema:

Contador
clk Módulo 5 entradas
z2 z1 z0

Bloque
salida
CC

Obtenga la tabla de verdad del bloque combinacional “CC”. Explique y


justifique convenientemente el contenido de dicha tabla de verdad.
NOTA: Obvie el problema que puede ocurrir si la señal de entrada cambia
mientras se está generando la forma de onda.

SOLUCIÓN
a) Diagrama y tabla de estados.
Para realizar el diagrama de estados hay que tener en cuenta la generación tanto de los ceros
como de los unos. Como se nos dice que obviemos el problema del cambio de la entrada esto
va a traducirse en que en muchos de los estados no se pueden producir determinados valores
de las entradas, lo que dará lugar a distintas implementaciones.
Partiremos de un estado en el que se empieza a generar los “1”. En este estado en la salida hay

15 7 November 2011
ELECTRÓNICA INDUSTRIAL BOLETÍN 6 (Soluciones)

DISEÑO SECUENCIAL Y MÁQUINAS DE ESTADO

un “1” con independencia de los valores de las entradas:

01/10/11 10/11 11
A B C D
1 1 1 1
00 01 10 --

E F G H
0 -- 0 -- 0 -- 0

--

A partir de este diagrama de estados generamos la tabla de estados, que como máquina de
Moore tendrá una salida que sólo dependerá del estado y no de las entradas:

00 01 10 11 Salida
A E B B B 1
B - F C C 1
C - - G D 1
D H H H H 1
E F F F F 0
F G G G G 0
G H H H H 0
H A A A A 0
NS

c)Obtener la tabla de verdad del circuito combinacional.


La señal que hay que generar se repite cada 5 ciclos de reloj, por lo que las salidas del contador
módulo 5 nos podrá indicar en cual de estos 5 estados de cuenta se está. Una vez que se han
diferenciado estos cinco estados de cuenta, la salida depende únicamente del estado de cuenta
y de la entrada de una forma condicional. Gráficamente tendremos:
clk

entradas = “00” -> salida

entradas = “01” -> salida

entradas = “10” -> salida

entradas = “11” -> salida

0 1 2 3 4 0 1 2
La tabla de verdad representaría la siguiente descripción verbal:
- Si estamos en el estado de cuenta 0 (correspondiente a la salida del contador 000), la salida

16 7 November 2011
ELECTRÓNICA INDUSTRIAL BOLETÍN 6 (Soluciones)

DISEÑO SECUENCIAL Y MÁQUINAS DE ESTADO

es “1”.
- Si estamos en el estado de cuenta 1 y la entrada es “00” entonces tendremos la salida a “0”,
pero si la entrada es “01”, “10” o “11” la salida será “1”.
- Si estamos en el estado de cuenta 2 y la entrada es “0-” la salida será “0”, pero si la entrada
es “1-” la salida será “1”.
- Si el estado de cuenta es 3 y la entrada es “11” la salida será “1” y si es diferente de “11” la
salida será “0”.
- Si el estado de cuenta es 4 la salida será “0”.
Quedaría traducir esta descripción verbal a una tabla de verdad.

17 7 November 2011

Você também pode gostar