Você está na página 1de 164

ESCUELA POLITÉCNICA NACIONAL

FACULTAD DE INGENIERÍA ELÉCTRICA Y


ELECTRÓNICA

DISEÑO E IMPLEMENTACIÓN DE UNA FUENTE VARIABLE


REGULADA DE VOLTAJE DC DE 60W CON CORRECCIÓN
ACTIVA DEL FACTOR DE POTENCIA BASADA EN
CONVERTIDORES TIPO BUCK SÍNCRONOS

PROYECTO PREVIO A LA OBTENCIÓN DEL TÍTULO DE INGENIERO EN


ELECTRÓNICA Y CONTROL

CYNTHIA ESTEFANIA ÁLVAREZ ORBE


cynthia.alvarez@epn.edu.ec

DIRECTOR: LEONARDO DAVID ORTEGA CAMINO MSc.


leonardo.ortega@epn.edu.ec

Quito, Agosto 2017


DECLARACIÓN

Yo, Cynthia Estefanía Álvarez Orbe, declaro bajo juramento que el trabajo aquí
descrito es de mi autoría; que no ha sido previamente presentada para ningún
grado o calificación profesional; y, que he consultado las referencias bibliográficas
que se incluyen en este documento.

A través de la presente declaración cedo mis derechos de propiedad intelectual


correspondientes a este trabajo a la Escuela Politécnica Nacional, según lo
establecido por la Ley de Propiedad Intelectual, por su Reglamento y por la
normatividad institucional vigente.

___________________________
Cynthia Estefanía Álvarez Orbe
CERTIFICACIÓN

Certifico que el presente trabajo fue desarrollado por Cynthia Estefanía Álvarez
Orbe, bajo mi supervisión.

_____________________________
Ing. Leonardo Ortega MSc.
DIRECTOR DEL PROYECTO
AGRADECIMIENTO

Gracias Dios por las infinitas


bendiciones, alegrías y tu gran amor.

Quiero expresar mis sinceros


agradecimientos a mis bellos padres y mi
familia quienes me motivaron a ser mejor
cada día, son mi espíritu de aliento y han
sido el pilar fundamental para alcanzar un
peldaño más en mi carrera profesional.

Un sentido y profundo respeto a mis


profesores, gestores del cumplimiento de
mis sueños y aspiraciones estudiantiles;
de manera especial a mi tutor el MSc.
Leonardo Ortega, quien con sus sabios
consejos y apoyo me ayudó a culminar
con éxito este trabajo.

A mis amigos, quienes han llenado mi


vida de bellos y gratos momentos,
gracias por su colaboración en todo
momento, los quiero mucho y les llevo en
mi corazón.

Finalmente, un agradecimiento especial


a las maravillosas personas que me
abrieron las puertas del Laboratorio de
Electrónica de Potencia y Control de
Máquinas, porque para mí fue mi
segundo hogar.

¡Gracias a todos ustedes!

Cynthia
DEDICATORIA

“Con fe, amor y sobre todo la bendición


de Dios todo es posible”

Quiero dedicarle este trabajo al ser


supremo, a Dios, que me permitió
sonreír y ha sido mi guía en todo
momento, me dio la fuerza y el valor
para cumplir con este sueño.

Con mucho amor, admiración y gratitud


lo dedico a las personas que más amo
en la vida:

A mi padre, eres el autor principal de


este logro, me enseñaste que todo lo
que se sueña se puede cumplir.

A la mujer de mi vida, el motor de mi


inspiración, mi abnegada madre.

A mis grandes amores, mis bellos


hermanos: Stalyn y Mery, gracias por
esos abrazos y esas palabras de aliento
que me dan día a día.

A mi tía Lorena, a quien quiero como


una madre.

¡Los amo!

Cynthia
i

CONTENIDO

RESUMEN ............................................................................................................. iv

PRESENTACIÓN .................................................................................................... v

CAPITULO 1 ........................................................................................................... 1

1 MARCO TEÓRICO ............................................................................................. 1

1.1 Introducción ............................................................................................... 1

1.2 Convertidores Buck DC-DC ...................................................................... 2

1.2.1 Convertidor Buck ............................................................................. 2

1.2.2 Convertidor Buck Síncrono.............................................................. 7

1.3 Topologías basadas en convertidores Buck para la corrección ACTIVA del


factor de potencia.............................................................................................. 18

1.3.1 Corrección del Factor de Potencia (CFP) ...................................... 19

1.3.2 IEC 1000-3-2 ................................................................................. 19

1.3.3 Convertidor Buck convencional e invertido para la corrección del


factor de potencia .......................................................................................... 21

1.3.4 Convertidor Buck sin puente rectificador para la corrección del factor
de potencia.................................................................................................... 22

1.3.5 Convertidor Buck intercalado con corrección del factor de potencia


24

1.3.6 Eliminación de la distorsión para un convertidor Buck con corrección


del factor de potencia .................................................................................... 25

1.3.7 Convertidor Buck operando en Modo de Voltaje Discontinuo del


Capacitor (MVDC) ......................................................................................... 26

1.3.8 Análisis .......................................................................................... 28

1.4 Convertidor Buck sin puente rectificador operando en MVDC ................ 29

1.4.1 Operación con voltaje de entrada constante ................................. 32


ii

1.4.2 Operación con voltaje de entrada sinusoidal rectificado................ 33

CAPÍTULO 2 ......................................................................................................... 43

2 MODELAMIENTO, DIMENSIONAMIENTO Y DISEÑO DEL SISTEMA Y SUS


ALGORITMOS DE CONTROL ............................................................................. 43

2.1 Diseño de la fuente variable de voltaje con CFP ..................................... 43

2.1.1 Descripción del funcionamiento ..................................................... 43

2.1.2 Diseño de la etapa de potencia ..................................................... 44

2.1.3 Esquema general del Sistema ....................................................... 52

2.2 Respuesta en frecuencia de los convertidores de potencia .................... 62

2.2.1 Primera Etapa ............................................................................... 62

2.2.2 Segunda Etapa .............................................................................. 64

2.3 ESTRATEGIA de Control ........................................................................ 67

2.3.1 Modulación PWM .......................................................................... 67

2.4 Diseño de los controladores .................................................................... 68

2.4.1 Primera Etapa ............................................................................... 70

2.4.2 Segunda Etapa .............................................................................. 74

CAPÍTULO 3 ......................................................................................................... 77

3 SIMULACIÓN, CONSTRUCCIÓN E IMPLEMENTACIÓN DEL SISTEMA


COMPLETO .......................................................................................................... 77

3.1 Simulación de la fuente con CFP ............................................................ 77

3.1.1 Corriente de suministro ................................................................. 78

3.1.2 Desempeño de los Controladores ................................................. 82

3.2 Implementación del sistema .................................................................... 85

3.2.1 Desarrollo del Software ................................................................. 85

3.2.2 Implementación del Convertidor. ................................................... 94

CAPITULO 4 ......................................................................................................... 95

4 PRUEBAS Y RESULTADOS ............................................................................ 95


iii

4.1 Corrección activa del factor de potencia ................................................. 95

4.1.1 Voltaje de salida 30 V .................................................................... 95

4.1.2 Voltaje de salida 25 V .................................................................... 96

4.1.3 Voltaje de salida 20 V .................................................................... 98

4.1.4 Voltaje de salida 15 V .................................................................... 99

4.1.5 Voltaje de salida 10 V .................................................................. 100

4.1.6 Voltaje de salida 5 V .................................................................... 101

4.2 Controladores de voltaje ....................................................................... 102

4.3 Uso de Diodo rápido.............................................................................. 103

4.4 Costos del Proyecto .............................................................................. 104

CAPÍTULO 5 ....................................................................................................... 107

5 CONCLUSIONES Y RECOMENDACIONES .................................................. 107

5.1 Conclusiones ......................................................................................... 107

5.2 Recomendaciones................................................................................. 109

6 REFERENCIAS BIBLIOGRÁFICAS ............................................................... 110

ANEXOS ............................................................................................................. A.1

ANEXO A ............................................................................................................ A.1

DESARROLLO DE ECUACIONES .................................................................... A.1

ANEXO B ............................................................................................................ B.1

MANUAL DE USUARIO ..................................................................................... B.1

ANEXO C ............................................................................................................ C.1

DIAGRAMAS ESQUEMÁTICOS PCB Y DIAGRAMAS ELÉCTRICOS ............. C.1

ANEXO D ............................................................................................................ D.1

HOJAS DE DATOS ............................................................................................ D.1


iv

RESUMEN

Los avances constantes de la conversión de energía eléctrica a través de la


electrónica de potencia demandan eficiencia y calidad de la energía en fuentes de
alimentación conectadas a la red de distribución eléctrica. El reto es obtener un
diseño simple, eficiente, confiable y rentable, de forma que la energía suministrada
por la red eléctrica se convierta en trabajo efectivo, y esto se puede conseguir
gracias al desarrollo de nuevas topologías y metodologías de diseño. En el presente
trabajo se compara eficiencia y calidad de energía de varias topologías Buck con
corrección activa del factor de potencia, y se selecciona una para su
implementación.

El objetivo principal del proyecto está orientado al diseño de una fuente regulada
de voltaje AC/DC con Corrección del Factor de Potencia (CFP) en base a
convertidores Buck síncronos. Se logra que la corriente de suministro tenga una
forma casi sinusoidal con un factor de potencia superior a 0.9 en todo el rango de
trabajo, además de cumplir con los límites del contenido armónico de la corriente
de entrada que impone el estándar de regulación internacional IEC 61000-3-2 clase
D. El sistema tiene la capacidad de mantener un voltaje regulado de salida frente a
perturbaciones, con especificaciones de salida de: voltaje DC de 5 a 30 [V],
corriente máxima de 2 [A] en un rango de potencia de 5 a 60 [W].

El prototipo de la fuente está compuesto por dos etapas. La primera etapa AC/DC
destinada a la Corrección del Factor de Potencia basada en convertidores Buck
síncronos con un filtro de entrada LC sin el uso de un puente rectificador, y la
segunda etapa constituida por un convertidor DC/DC Buck síncrono encargado de
la regulación del voltaje a la salida.

Se presentan los fundamentos teóricos a partir de los cuales se diseñó el sistema,


su simulación, implementación y, finalmente se exponen y analizan los resultados
experimentales obtenidos mediante las mediciones de las variables de interés.
v

PRESENTACIÓN

En este proyecto se presenta el diseño, simulación e implementación de una fuente


variable regulada de voltaje DC alimentada desde la red eléctrica con corrección
activa del factor de potencia y cumple con los límites del contenido armónico de la
corriente de entrada que impone el estándar de regulación internacional IEC 61000-
3-2.

Para cumplir este propósito, el trabajo se divide en cinco secciones de la siguiente


manera:

En el Primer Capítulo, se abordan conceptos básicos de operación y las ecuaciones


de diseño de un convertidor Buck convencional y síncrono trabajando en modo de
conducción continua. Se obtiene el modelo en pequeña señal del convertidor
DC/DC tipo Buck síncrono. Además, se presenta un estudio de convertidores
orientados a la corrección activa del factor de potencia, y se analiza en detalle un
convertidor Buck sin puente rectificador operando en modo discontinuo del voltaje
del capacitor con corrección del factor de potencia.

En el Segundo Capítulo, se muestra el dimensionamiento de cada uno de los


elementos del sistema y se diseñan los controladores tipo PI en el dominio de la
frecuencia para cada etapa.

En el Tercer Capítulo se presenta la simulación del sistema en lazo cerrado con sus
respectivos controladores. Se explica la implementación y construcción del
proyecto, exponiendo el software y hardware diseñados.

En el Cuarto Capítulo se presentan las pruebas realizadas y los resultados


experimentales obtenidos para el prototipo implementado para diferentes voltajes
de salida y cambios de carga.

Finalmente, en el Quinto Capítulo se presentan las conclusiones y


recomendaciones generales obtenidas del presente proyecto de titulación.
1

CAPITULO 1

1 MARCO TEÓRICO

En este capítulo se realiza una breve introducción de conceptos básicos de


operación y se plantean las ecuaciones de diseño del convertidor Buck
convencional y del convertidor Buck síncrono, trabajando en modo de conducción
continua (MCC). Se presenta el modelado en pequeña señal del convertidor Buck
síncrono. Se expone un estudio de topologías Buck orientadas a la Corrección
activa del Factor de Potencia (CFP). Finalmente se trata un apartado sobre los
conceptos fundamentales para el diseño de un convertidor Buck sin puente
rectificador con CFP, operando en modo de voltaje discontinuo del capacitor
(MVDC).

1.1 INTRODUCCIÓN

Los convertidores conmutados DC/DC mediante la apertura y cierre de sus


interruptores de potencia pueden cargar o descargar energía con el uso de
elementos pasivos tales como inductores y/o capacitores. Convierten un voltaje DC
en otro nivel de voltaje DC, obteniendo una salida regulada y manteniendo el flujo
de energía. En estos convertidores, el transistor de potencia trabaja en estado de
corte cuando está desactivado y en conducción cuando está activado. [1]

Los convertidores DC/DC cuando trabajan en estado estable poseen ciertas


características; las mismas que se enlistan a continuación [1]:

· La corriente a través del inductor es periódica y el voltaje medio es cero.


· La corriente media en el capacitor es cero.
· La potencia que suministra la fuente es igual a la potencia de la carga más,
las pérdidas de potencia debido a los componentes no ideales que forman
parte del circuito.
2

1.2 CONVERTIDORES BUCK DC-DC

1.2.1 CONVERTIDOR BUCK

El convertidor Buck es una fuente conmutada reductora de voltaje DC/DC que


genera voltajes bajos a partir de voltajes relativamente altos. La topología del
convertidor se muestra en la Figura 1.1, en la cual se toma en cuenta las
resistencias equivalentes serie de cada elemento. El circuito consta de un inductor
(L) y un capacitor (C) que actúan como filtro minimizando el rizado de corriente de
salida (Io) y voltaje de salida (Vo) respectivamente. La carga y descarga de L y C es
controlada por dispositivos semiconductores que trabajan alternadamente: el
MOSFET (Q) y Diodo (D). El convertidor puede operar en modo de conducción
continuo (MCC) si la corriente a través de L durante todo el periodo de conmutación
es mayor a cero, o en modo de conducción discontinuo (MCD) cuando en un
instante del periodo de conmutación la corriente a través de L es igual a cero. [2]

Figura 1.1 Topología de un convertidor Buck convencional

El voltaje de entrada es reducido por medio del control de la frecuencia y/o el ciclo
de trabajo aplicado a Q. El ciclo de trabajo (!) se define como la relación entre el
tiempo de encendido del interruptor Q ("#$ ) y el periodo de conmutación (%& ): [1]

"#$
!=
%&
(1.1)
3

Operación del convertidor Buck en Modo de Conducción Continua (MCC)

Durante un periodo de conmutación el convertidor tiene dos circuitos equivalentes


de conducción, los mismos que se muestran en la Figura 1.2 y Figura 1.3, y
corresponden al tiempo de encendido y apagado del MOSFET respectivamente.

Durante "#$ el voltaje a través de Q es cero, L se carga hasta un valor pico y existe
una transferencia de energía desde Vin hacia la carga como se muestra en la Figura
1.2. La corriente a través de L ('( ) es igual a la que circula a través de Q, el voltaje
y la corriente a través del capacitor ('* ) crecen hasta un valor máximo y D se
encuentra polarizado inversamente, por lo tanto no existe circulación de corriente a
través de éste. [2]

Figura 1.2 Circuito equivalente del convertidor Buck durante "#$

Durante "#++ Q es desactivado; por lo tanto, D conduce debido a la energía


almacenada en L, siendo la corriente que circula por estos dos elementos la misma,
y se reduce de un valor máximo a un valor mínimo. En este tiempo L transfiere
energía a la carga como se muestra en la Figura 1.3, el voltaje y la corriente a través
del capacitor empiezan a decrecer hasta un valor mínimo. [2]

Figura 1.3 Circuito equivalente del convertidor Buck durante "#++


4

En la Figura 1.4 se presenta las formas de onda de voltaje y corriente para cada
uno de los elementos que conforman el circuito de la Figura 1.1, de donde se
obtendrán posteriormente las ecuaciones que rigen el sistema.

,-.
9: 9;;

'/
8'/ 1/

0/ ,':7,9
2

7,9
'2
12

,':
,2

'3
13

,3 ,':

'4 8'/

%6
2

04
2

8,9

"
! 5 %6 (1 7 !) 5 %6
%6
Figura 1.4 Formas de onda del convertidor Buck en MCC. [2]
5

Análisis del convertidor Buck en Modo de Conducción Continua

1.2.1.2.1 Cálculo del ciclo de trabajo

El voltaje del inductor se define como ,( = / <?. Aplicando la ley de voltajes de


<>

Kirchhoff al circuito de la Figura 1.2 durante "#$ , se obtiene:

@'
/ = ,>$ 7 ,# 7 ABCD#$ E B( )1# !
(1.2)
@" !

,>$ 7 ,# 7 ABCD#$ E B( )1#


8'(A#$) = "#$ !
/
(1.3)

Aplicando la ley de voltajes de Kirchhoff al circuito de la Figura 1.3 durante "#++ :

@'
/ = 7,# 7 ,+F 7 B( 1# !
@"
(1.4)

,# E ,+F E B( 1#
8'(A#++) = 7 "#++ !
/
(1.5)

Donde:

,+F G Voltaje forward del Diodo.

Igualando el rizado de corriente tanto para el ciclo de encendido y apagado 8'(A#$) =


78'(A#++) , dados por la ecuación (1.3) y (1.5) respectivamente se tiene:

"#$ E "#++ "#++


,>$ 7 BCD#$ 1# = A,# E B( 1# ) E ,+F
"#$ "#$
! (1.6)

Y reemplazando la ecuación (1.1) en la ecuación anterior queda:

,# E ,+F E B( 1#
!=
,>$ 7 BCD#$ 1# E ,+F
! (1.7)

A partir de la ecuación (1.7), en la Figura 1.5 se muestra la relación del ciclo de


trabajo y el voltaje a la salida para diferentes valores de los elementos parásitos.
Para un valor fijo del ciclo de trabajo, el voltaje a la salida disminuye a medida que
el valor de los elementos parásitos aumenta, provocando una reducción
significativa de la eficiencia del convertidor, y por consiguiente, el rendimiento del
sistema se ve afectado considerablemente.
6

35

30 Ementos Ideales

Voltaje de Salida (Vo/Vin)


25

Vfr=0,9 RL=0,5 Rds=0,030


20
MOSFET : FDP2710_F085

15
Vfr=2V RL=1 Rds=0,18
10 MOSFET : IRF640

0
0 0,1 0,2 0,3 0,4 0,5
Ciclo de Trabajo (!)

Figura 1.5 Voltaje de salida con respecto al ciclo de trabajo (,>$ = HI,J 1# = KL)

1.2.1.2.2 Cálculo del Inductor

Los valores de 8,# My 8'( Mson especificaciones de diseño.


El valor de L viene dado por la ecuación (1.3), a partir del rizado de la corriente:

,>$ 7 ,# 7 ABCD#$ E B( )1#


/= M!%& !
8'(A#$)
!!(1.8)

Al excluir y considerar el valor de BCD#$ E B( muy pequeño, el valor de L dado por


la ecuación (1.8) puede simplificarse como se muestra en la ecuación (1.9):

,>$ 7 ,#
/= M!%& !
8'(
!!(1.9)

1.2.1.2.3 Cálculo del Capacitor

El valor del capacitor de la salida viene dado por el rizado de voltaje en la carga [2].

8'( %&
8,# =
N4
! (1.10)

El Anexo A.1 muestra cómo se llegó a obtener la ecuación (1.10).


7

En la ecuación anterior se sustituye el valor de 8'( dado por la ecuación (1.9), y se


puede calcular el valor del capacitor mediante la siguiente ecuación:

A,>$ 7 ,# )!
4=
N8,# O& P /
! (1.11)

1.2.1.2.4 Corrientes y Voltajes a través del MOSFET y el Diodo

El MOSFET soporta la corriente del inductor durante "#$ , entonces, el valor de 1Q


es:

1Q = !1# ! (1.12)

Mientras que a través del Diodo, fluye la corriente de carga durante "#++ , entonces
1C viene dada por:

1C = AR 7 !)1S ! (1.13)

Y la corriente pico viene dada por la siguiente ecuación:

81(
1QATU) = 1# E
K
! (1.14)

El Diodo y el MOSFET soportan el mismo voltaje máximo y corriente pico. El voltaje


máximo a través Q y D se da durante "#++ , y es igual al voltaje de entrada:

,QA#++) = ,>$ ! (1.15)

1.2.2 CONVERTIDOR BUCK SÍNCRONO

El convertidor Buck síncrono es una variación de la topología convencional, en la


cual, la caída de voltaje del diodo se elimina al reemplazarlo por un MOSFET de
potencia como se muestra en la Figura 1.6. El MOSFET ofrece una baja resistencia
de encendido, reduciendo así las pérdidas de conducción. El modo de operación
del convertidor Buck síncrono es equivalente al convertidor Buck convencional
siempre que estos dos, operen en modo de conducción continuo (MCC). [2], [3]
8

Figura 1.6 Topología de un Convertidor Buck Síncrono

En el convertidor Buck síncrono, los MOSFETs están dispuestos en una


configuración medio puente, y durante un ciclo de conmutación pueden pasar por
los cuatro estados que se muestran en la Figura 1.7. Es indispensable añadir
tiempos muertos ("<VW< ) en la señal de control, cuyo valor es elegido
minuciosamente con el fin de evitar el traslape durante el encendido y/o apagado
de los semiconductores y provocar un estado de corto-circuito de Vin a GND. Por
otro lado, si "<VW< es de un valor muy grande, la eficiencia del convertidor se vería
afectada. [3]
corto-circuito

Q1

Q2
Q1 Q1
ton
toff

Q2 Q2
Q1
tdead

Q2

Figura 1.7 Diagrama de estados de los MOSFETS durante un ciclo de conmutación

Modo de operación

Durante "#$ el interruptor Q1 es activado y su voltaje es cero, mientas que Q2 está


en estado de corte y su voltaje es igual al de la fuente; en éste tiempo L se carga
hasta un valor pico, y existe una transferencia de energía desde V in hacia la carga
como se muestra en la Figura 1.8. La corriente a través de L es igual a la que circula
a través de Q1; el voltaje y la corriente a través del capacitor crecen hasta un valor
máximo.
9

'':

Figura 1.8 Circuito equivalente del convertidor Buck Síncrono durante "#$

En la Figura 1.9 se puede observar el circuito equivalente del convertidor para "#++
y "<VW< . Durante "<VW< Q1 y Q2 están apagados, y el diodo parásito de Q2 empieza
a conducir debido al flujo de corriente '( , siendo las corrientes a través de Q2 y L
iguales en este instante de tiempo. Durante "#++ Q1 es desactivado y Q2 se
enciende; en éste tiempo L transfiere energía a la carga. La corriente que circula a
través de Q2 y L es igual, y se reduce de un valor máximo a un valor mínimo. La
corriente a través del capacitor empieza a decrecer hasta un valor mínimo.

Figura 1.9 Circuito equivalente del convertidor Buck Síncrono durante "#++ y "<VW<

En Figura 1.10 se presenta las formas de onda de voltaje y corriente explicadas


anteriormente, para cada uno de los elementos del circuito de la Figura 1.6. El
análisis del funcionamiento y el procedimiento de diseño del convertidor Buck
síncrono en MCC es igual que para un convertidor Buck convencional.
10

%3ZX@ %3ZX@
%9: %9;;

,-.1

,-.2

'/
8'/ 1/

,': 7,9
2
0/

7,9

121 '2

,':
,21

'22 (4X:XY )

'22 (3'9@9 )

,22 ,':

'4 8'/
2
%6
2
09 8,9
8,9

"
! 5 %6 (1 7 !) 5 %6
%6
Figura 1.10 Formas de onda de un convertidor Buck Síncrono en MCC. [2]

Modelado del Convertidor Buck Síncrono

Un convertidor conmutado de potencia tiene una naturaleza discontinua y no lineal,


debido a lo cual resulta fundamental linealizar el circuito para entender el
funcionamiento y poder aplicar las teorías de control convencionales. El análisis del
11

convertidor en pequeña señal se realiza con el fin de conocer la dinámica del


sistema. El espacio de estados de un sistema dinámico es usado para obtener las
ecuaciones del convertidor en pequeña señal. El modelado en pequeña señal nos
brinda una variedad de funciones de transferencia y de este modo se pueden
diseñar los compensadores que ayudan a mejorar la respuesta del sistema. [4]
1.2.2.2.1 Modelo de Espacios de Estado Promediado del conversor Buck [4]

Las ecuaciones de estado que describen al convertidor reducido en un circuito lineal


durante un periodo de conmutación vienen dadas por:

@\A")
[ = L\A") E ]^A")
@"

_A") = 4\A") E `^A")


(1.16)

Donde las matrices promediadas son:

L = !A")La E AR 7 !A"))LP

] = !A")]a E AR 7 !A"))]P

4 = !A")4a E AR 7 !A"))4P
(1.17)

` = !A")`a E AR 7 !A"))`P

Las variables físicas que describen al sistema son: la corriente a través del inductor
y voltaje a través del capacitor, para formar un vector de estado \A"), las fuentes
de energía independientes dan lugar al vector de entrada ^A"), y el vector de salida
_A") dependiente del vector de estado \A"). [4]

' A")
\A") = b ( d
0c A")

/ I
[=e f
I 4

0# A")
(1.18)
_A") = b d
'>$ A")

^A") = ,>$ A")

Para el análisis se introduce a la señal una perturbación para los vectores de


estado:
12

\A") = \ E \g

_A") = _ E _g

^A") = ^ E ^g
(1.19)

!A") = ! E !h

En la ecuación (1.16) se reemplaza las ecuaciones (1.17) y (1.19) :

@\g
AL\jkj
= ij E ]^) g E ]^g E {ALa 7 LP )\ E A]a 7 ]P )^}!h )M
jl MM E AL\
ijjjjjjjjjjjjjkjjjjjjjjjjjjjl
@" mn on

{ALa 7 LP )\g E A]a 7 ]P )^g}!h MM


E ijjjjjjjjkjjjjjjjjl
pqMrsptur (1.20)

_ E _g = A4\ E `^)
ijjkj g E `^g E {A4a 7 4P )\ E A`a 7 `P )^}!h wMM
jl M E v4\
ijjjjjjjjjjjjkjjjjjjjjjjjjl
mn on

{A4a 7 4P )\g E A`a 7 `P )^g}!h MM


E ijjjjjjjjkjjjjjjjjl
xyMz|x~z

Los términos no lineales son muy pequeños comparados con los términos DC y AC
del sistema, de manera que se los puede despreciar. Es así que al modelo de
espacios de estados promediado se lo puede dividir en dos partes:

<€g
<?
· El modelo en estado estable se consigue igualando a cero :

I = L\ E ]^M  M\ = 7L‚a ]^

_ = A74L‚a ] E `)^
(1.21)

· Modelo dinámico en pequeña señal:

@\gA")
= L\gA") E ]^gA") E {ALa 7 LP )\ E A]a 7 ]P )^}!h A")
@"

_gA") = 4\gA") E `^gA") E {A4a 7 4P )\ E A`a 7 `P )^}!h A")!


(1.22)

En la sección 1.2.2.1 se analizó los modos de operación de un convertidor Buck


Síncrono en MCC y sus dos circuitos equivalentes. Con el objetivo de obtener un
modelo en pequeña señal más preciso, en el circuito se toma en cuenta las
resistencias equivalentes series de cada elemento.
13

Para llegar a las ecuaciones en variables de estado en "#$ se despeja las derivadas
del voltaje en el capacitor y de la corriente en el inductor en función de las señales
de entrada y las variables de estado. Del circuito durante "#$ de la Figura 1.8
obtenemos que:

,# A") = 1# A")B = '* A")B* E 0* A") (1.23)

1# A") = '( A") 7 '* A") (1.24)

Reemplazando la ecuación (1.24) en la ecuación (1.23) y despejando '* :

'( A")B 7 0*
'* A") =
B E B*
! (1.25)

Por lo tanto, de la ecuación (1.25) en (1.23) se tiene:

'( A")B 7 0* A")


,# A") = B* E 0* A")!
B E B* (1.26)

Además, aplicando la ley de voltajes de Kirchhoff en el inductor L:

@'( A")
/ = ,>$ A") 7 ƒB#$ E B( „'( A") E ,# A…)M!
@"
(1.27)

A partir de las ecuaciones (1.23), (1.24), (1.25), (1.26) y (1.27) obtenemos las
ecuaciones diferenciales que describen al convertidor en variables de estado:

@'( A") B
/ = ,>$ A") 7 ƒB#$ E B( E AB††B* )„'( A") 7 0 A")!
@" B E B* * (1.28)

@0* A") B R
4 = '( A") 7 0 A")
@" B E B* B E B* * (1.29)

'>$ A") = '( A") (1.30)

B
,‡ A") = AB††B* )'( A") E 0 A")
B E B* * (1.31)

De las ecuaciones anteriores se puede tener las matrices de estado:


14

@'( 7B
/ Œ7ƒB#$ E B( E AB††B* )„ 
@" ‹ B E B* Ž '( A") R
ˆ ‰= ’ “ E e f ,>$ A")
@0* ‹ B 7R Ž * 0 A") ”I
4
@" Š B E B*
ijjjjjjjjjjkjjjjjjjjjjl B E B*  •‘

‘

B
(1.32)

,# A") AB††B* ) '( A")


’ “=– B E B* — ’0 A")“!
'>$ A")
ijjjjjkjjjjjl
R I
*

De la misma manera se obtiene las ecuaciones diferenciales que describen al


convertidor en variables de estado para el circuito de la Figura 1.9 durante "#++ .

@'( A") B
/ = 7ƒB#$ E B( E AB††B* )„'( A") 7 0 A")
@" B E B* * (1.33)

@0* A") B R
4 = '( A") 7 0 A")
@" B E B* B E B* * (1.34)

'>$ A") = I! (1.35)

B
,‡ A") = AB††B* )'( A") E 0 A")
B E B* * (1.36)

A partir de las ecuaciones anteriores se puede tener las matrices de estado:

@'( 7B
/ Œ7ƒB#$ E B( E AB††B* )„ 
B E B* Ž '( A")
ˆ @" ‰ = ‹ ’ “
@0* ‹ B 7R Ž 0* A")
4
@" Š B E B*
ijjjjjjjjjjkjjjjjjjjjjl B E B* 
˜

B
(1.37)

,# A") AB††B* ) ' A")


’ “=– B E B —’ ( “
'>$ A") * 0* A")
ijjjjjkjjjjjl
I I

Modelo en estado estable [4]

De las ecuaciones (1.32) y (1.37) en (1.17) se obtiene las matrices de estado


promediado del convertidor Buck síncrono:
15

7B
Œ7ƒB#$ E B( E AB††B* )„ 
‹ B E B* Ž
L=
‹ B 7R Ž
Š B E B* B E B* 

!
]=e f
I (1.38)

B
AB††B* )
4=– B E B* —
! I

`=I

Para encontrar el modelo en estado estable se reemplaza el modelo del convertidor


Buck síncrono de la ecuación (1.38) en la ecuación (1.21), por lo que:

,# B
=!
,>$ B E B( E B#$ (1.39)

,>$
'>$ = ! P
B E B( E B#$
! (1.40)

š#›? ,# 1# B
™= = =
š>$ ,>$ ' B E B( E B#$ (1.41)

Modelo AC en pequeña señal [4]

Las ecuaciones en pequeña señal se obtienen a partir del modelo del convertidor
Buck síncrono de las ecuaciones (1.32), (1.37) y (1.38) en (1.22):

@œ( A") B
/ = 7ƒB#$ E B( E AB††B* )„œ( A") 7 0g A") E !,ž>$ A") E ,>$ !h
@" B E B* * (1.42)

@0g* A") B R
4 = œ( A") 7 0g A")
@" B E B* B E B* * (1.43)

œ>$ A") = !œ( A") E '( !h (1.44)

B
,ž‡ A") = AB††B* )œ( A") E 0g A")
B E B* * (1.45)
16

A continuación se muestra el procedimiento para encontrar la función de


transferencia del voltaje a la salida en función del ciclo de trabajo derivado del
modelo en pequeña señal.

Convirtiendo las ecuaciones (1.42), (1.43), (1.44) y (1.45) al dominio de Laplace:

B
/6œ( A6) = 7ƒB#$ E B( E AB††B* )„œ( A6) 7 0g A6) E !,ž>$ A6)
B E B* *
E ,>$ !h A6)
(1.46)

B R
40g* A6) = œ( A6) 7 0g A6)
B E B* B E B* * (1.47)

1h>$ A6) = !œ( A6) E '( !h A6) (1.48)

B
,ž# A6) = AB††B* )œ( A6) E 0g A6)
B E B* * (1.49)

La función de transferencia de interés de lazo abierto de pequeña señal se obtiene


de:

,ž# A6)
-< A6) = Ÿ
!h A6) ¡
 ¢£ A&)¤S
(1.50)

¡¥ A&)
 
De (1.39), (1.46), (1.47) y (1.49) se tiene la función de transferencia ¡ A&)
¦
es igual

,#
a:

AR E 64B* )
= !
/ 4BABCD#$ E B( ) B E B*
RE6’ E E 4B* “ E 6 P /4 e f
B E BCD#$ E B( B E BCD#$ E B( B E BCD#$ E B( (1.51)

El Anexo A.2 muestra el procedimiento para llegar a la ecuación (1.51).

RINGING [5]

Los MOSFETs del convertidor Buck síncrono pueden experimentar sobrevoltajes


significativos y oscilaciones o “RINGING” sobre el nodo de conmutación, cuya
magnitud depende de la velocidad de conmutación de los MOSFETs y las
reactancias del circuito [6]. Las formas de onda donde se puede observar este
17

hecho se muestra en la Figura 1.11. Durante "<VW< cuando Q2 se desactiva, la


corriente que está fluyendo a través del inductor obliga al diodo intrínseco del
MOSFET Q2 a encenderse ocasionando una leve caída de voltaje en el nodo de
conmutación en ,CDP. Cuando Q1 se activa (ON) el diodo del MOSFET Q2 es
polarizado inversamente y se apaga, como consecuencia se produce un exceso de
corriente causado por: el voltaje de recuperación inversa del diodo y el cambio del
sentido del voltaje en los capacitores del MOSFET. El exceso de corriente es
absorbido por la capacitancia de salida del semiconductor y como resultado se tiene
oscilaciones con las inductancias parásitas del circuito, provocando un circuito
resonante y dando como resultado el “RINGING”.

Para controlar estos problemas se emplean técnicas con el fin de no sobrepasar


los límites máximos de las especificaciones del MOSFET a través de redes
snubber.

tdead ON tdead OFF


VGS 1

VGS 2

V DS 2

iL

Figura 1.11 Formas de onda y RINGING en un convertidor Buck síncrono [5]

Eficiencia

La eficiencia en un convertidor Buck síncrono puede verse afectada a causa de las


pérdidas de potencia existentes, y éstas pueden ser [2], [3]:
18

· Pérdidas de conducción (carga): Se deben a la conducción de los diodos


parásitos de los elementos semiconductores, y las resistencias de:
encendido del MOSFET, del devanado del inductor y (ESR) equivalente serie
del capacitor.
· Pérdidas de conmutación (frecuencia): Se ocasiona por el traslape del voltaje
y la corriente durante las transición de conmutación (encendido/apagado) de
los MOSFETs, y las pérdidas en el núcleo del inductor. [2]
· Pérdidas adicionales: Son producto de la carga y descarga de las
capacitancias de salida del MOSFET y el manejo de la compuerta del
MOSFET. [3]

Por lo tanto, la eficiencia del sistema viene dada por:

š# š#
™= =
š>$ š# E šTéF<><W&
! (1.52)

Donde:

š# : Potencia desarrollada por la carga.

š>$ : Potencia de entrada del sistema.

šTéF<><W& : Pérdidas de potencia disipadas en el sistema.

1.3 TOPOLOGÍAS BASADAS EN CONVERTIDORES BUCK PARA


LA CORRECCIÓN ACTIVA DEL FACTOR DE POTENCIA

A continuación se realiza un breve estudio de topologías y algoritmos de control de


convertidores tipo Buck orientados a la corrección activa del factor de potencia, y
se analiza la topología que brinde un diseño apropiado y con mejor desempeño
para la implementación de la fuente de voltaje con CFP.

La mayoría de topologías expuestas a continuación utilizan un controlador del


sistema de potencia en modo de corriente pico, el cual combina dos bucles. El bucle
externo de control regula el voltaje de carga, además que, establece la referencia
de la corriente para el bucle interno. El bucle interno de control de corriente obliga
a que la corriente de entrada siga cierto patrón y de esta manera se logra conseguir
un FP alto y un THD bajo.
19

1.3.1 CORRECCIÓN DEL FACTOR DE POTENCIA (CFP)

Los convertidores Buck son una opción atractiva en aplicaciones de alta eficiencia
y bajo voltaje de salida donde no se requiere de aislamiento, pero presenta ciertas
desventajas a causa del capacitor de salida del convertidor. El capacitor a la salida
brinda un voltaje de rizado mínimo, sin embargo, por el circula la corriente de la
línea AC cuando el voltaje sobre el capacitor es menor que el voltaje de entrada.
Por otro lado, los elementos de conmutación constituyen cargas discontinuas y no
lineales. Este escenario hace que se genere una corriente de entrada discontinua
y pulsante en la red eléctrica que emiten armónicos que afectan el factor de
potencia como se muestra en la Figura 1.12 (a). Con ciertas técnicas de CFP se
logra cumplir con estándares de regulación internacional como la norma IEC 61000-
3-2 y tener un buen factor de potencia; en la Figura 1.12 (b) se ilustra las formas
de onda de voltaje y corriente con CFP.

,X§ ,X§

`:Z¨©íX!:9!ª6X@X `:Z¨©íX!:9!ª6X@X

"
"

`:Z¨©íX!ª6X@X
1X§
1X0 `:Z¨©íX!ª6X@X
1X§

" 1X0

"

1
(a) (b)
Figura 1.12 (a) Voltaje y corriente de entrada típicas de un convertidor conmutado
(b) Voltaje y corriente de entrada típicas de un convertidor conmutado con CFP. [7]

1.3.2 IEC 1000-3-2

La norma IEC 1000-3-2 está asociada a la calidad de la energía y especifica el


límite de los armónicos de corriente, en valores absolutos o en porcentaje de la
corriente fundamental; lo cual representa una medida de la distorsión de la forma
20

de onda de corriente. En esta normativa se da un cumplimiento individual de los


límites para cada armónico, por lo que no es necesario obtener un factor de
potencia igual a 1. La normativa divide a los equipos en 4 clases como se muestra
en la Figura 1.13 y se aplica diferentes límites para cada armónico según el equipo.
[8]

La fuente diseñada da cumplimiento al límite de armónicos para los equipos de la


Clase D donde se encuentran las fuentes de alimentación de 75 a 600W y de uso
frecuente. En la Tabla 1.1 se muestra los límites de los armónicos de la corriente
para esta clase de equipos.

Equipos trifásicos si
equilibrados

no
si
Equipos Portátiles Clase B

no
si
Equipos Iluminación Clase C

no
Equipos con una Forma si Accionamiento no
de Onda Especial y Clase D
motor
75W<P<600W
no si

Clase A

Figura 1.13 Diagrama de selección de la clase en la norma IEC 1000-3-2.

Tabla 1.1 Límites de armónicos IEC 1000-3-2 clase D [9]

Armónico Orden n (mArms/W) Máximo valor absoluto (A)


3 3,4 2,30
5 1,9 1,14
7 1,0 0,77
9 0,5 0,40
11 0,35 0,33
13≤ n ≤ 39 3,85/n 0.15*15/n
21

1.3.3 CONVERTIDOR BUCK CONVENCIONAL E INVERTIDO PARA LA


CORRECCIÓN DEL FACTOR DE POTENCIA

En la Figura 1.14 se presenta un convertidor Buck trabajando en modo de corriente


crítica del inductor (MCR) que es propuesto en [10]. El control del interruptor de
potencia (S) es a frecuencia de conmutación variable con un tiempo de encendido
constante (COT) durante casi todo el ciclo de línea. El interruptor es activado
cuando la corriente a través del inductor es cero y se desactiva cuando la corriente
ha alcanzado la referencia pico. A causa del MCR se logra reducir las pérdidas de
conmutación mediante la conmutación de voltaje cero (ZVS) y se minimizan las
pérdidas de recuperación inversa del diodo, mejorando así la eficiencia y
simplificando el control; pero posee la desventaja de que, la corriente pico de
conmutación es relativamente alta, además que en condiciones de carga variable
la frecuencia de conmutación variable puede llegar a ser muy grande y se reflejaría
en las pérdidas de conmutación.

Figura 1.14 Convertidor Buck convencional con CFP propuesto en [10].

En la Figura 1.15 se muestra la topología de un convertidor Buck invertido en MCR


propuesto en [11] y [12], con el MOSFET y el inductor en el lado de abajo. Esta
topología posee ventajas tales como: el diseño del driver de disparo del MOSFET
se torna más sencillo gracias a la posición del interruptor de potencia, la
implementación del sensado de corriente se hace más fácil. El principal problema
que presenta la topología es el bus flotante del voltaje de salida, ya que no posee
la referencia a tierra del circuito, por lo que el sensado del voltaje a la salida y el
circuito de control se vuelve más complejo.
22

Figura 1.15 Convertidor Buck invertido con CFP propuesto en [11].

1.3.4 CONVERTIDOR BUCK SIN PUENTE RECTIFICADOR PARA LA


CORRECCIÓN DEL FACTOR DE POTENCIA

Convertidor Buck sin puente rectificador para la CFP

El convertidor Buck AC/DC sin puente rectificador propuesto en [13] y [14] se


muestra en la Figura 1.16. La eficiencia del sistema se mejora mediante la
disminución de semiconductores que operan cada ciclo de trabajo y por
consiguiente las pérdidas por conducción se reducen. El rectificador con CFP
propuesto utiliza dos convertidores Buck conectados de forma adyacente, y cada
uno opera en cada medio ciclo del voltaje de línea.

La dirección de la corriente de carga va en la misma dirección en C1 y C2. Es así


que el voltaje de salida del rectificador es la suma de los voltajes a través de C1 y
C2:

,#›? = K!,>$ (1.53)

Para esta topología los autores en [13] implementan un control de voltaje PI y en


[14] un control PWM en modo de corriente pico, que controlan los interruptores de
potencia Q1 y Q2 en cada semiciclo de línea.
23

Figura 1.16 Convertidor Buck con CFP propuesto en [13].

Convertidor Buck sin puente rectificador para la CFP con un solo inductor

La topología de [13] utiliza un inductor para cada semiciclo mientras el otro


permanece inactivo, lo que resulta una baja utilización de los componentes; esta
situación es mejorada reduciendo los componentes e integrándolos, entonces se
realiza una modificación de la topología de la Figura 1.16 mediante la sustitución
de los dos inductores por uno solo como se muestra en la Figura 1.17.

Figura 1.17 Convertidor Buck con CFP propuesto en [14].

El circuito modificado posee la misma relación de conversión y el mismo principio

a la operación de L durante los dos ciclos tiene un alto «0¬«" a través de este. [14]
de operación que el circuito propuesto en [13] con la ecuación (1.53), pero debido
24

1.3.5 CONVERTIDOR BUCK INTERCALADO CON CORRECCIÓN DEL


FACTOR DE POTENCIA

Con el fin de mejorar el rendimiento e incrementar la capacidad de potencia, en [15]


se intercalan dos convertidores Buck trabajando en MCR conectados en paralelo
como se muestra en la Figura 1.18. Con esta topología el rizado de la corriente de
entrada es reducido a la mitad, mientras que su frecuencia se duplica, de este modo
el filtro a la entrada puede ser más pequeño y con esto tener menor desplazamiento
de la corriente de línea. Se plantea un control adaptativo maestro-esclavo el cual
garantiza el MCR y responde muy bien frente a perturbaciones. La frecuencia de
conmutación del circuito varía dependiendo de la carga y el voltaje de entrada
instantáneo.

Figura 1.18 (a) Convertidor Buck intercalado con CFP propuesto en [15]. (b) Formas de
onda del convertidor.

Por otro lado en [16] se plantea un rectificador AC/DC Buck doble como se muestra
en la Figura 1.19, donde el voltaje de salida puede ser mayor que el voltaje pico de
entrada. El convertidor está formado por un filtro de alta frecuencia LC, dos
interruptores de potencia unipolares o a su vez interruptores bipolares con un diodo
en serie, dos inductores acoplados magnéticamente, dos diodos y dos capacitores.
El convertidor utiliza un control en cascada, el bucle de corriente utiliza un control
por modos deslizantes (SMC) y el bucle externo que regula el voltaje de salida
utiliza un control proporcional integral (PI).
25

Figura 1.19 Convertidor Buck intercalado con CFP propuesto en [16].

1.3.6 ELIMINACIÓN DE LA DISTORSIÓN PARA UN CONVERTIDOR BUCK


CON CORRECCIÓN DEL FACTOR DE POTENCIA

Con anterioridad se mencionó que la razón principal del bajo FP y alta distorsión
armónica (THD) es la región de cruce por cero de la corriente de entrada [17], es
así que en [18] se propone eliminar esta región modificándose la topología como
se muestra en la Figura 1.20. La topología propuesta es similar a la del convertidor
Buck invertido propuesto en [11], pero esta utiliza un interruptor de potencia y un
diodo adicional para que operen en los instantes que el voltaje de salida es mayor
que el voltaje de entrada.

Figura 1.20 Convertidor Buck con CFP propuesto en [18].


26

El sistema implementado tiene un control a frecuencia de conmutación variable del


interruptor de potencia (Q1) y un tiempo de encendido constante, cuando el voltaje
de entrada es mayor que el voltaje de salida el MOSFET Q2 es desactivado y la
operación es igual a la de un convertidor Buck en MCR; en cambio cuando el voltaje
de entrada es menor que el voltaje de salida Q2 es activado permitiendo de esta
manera que la corriente de entrada fluya y el convertidor opera en MCD; de esta
manera, la forma de onda de la corriente de entrada es modificada y es semejante
a una onda sinusoidal, eliminándose la distorsión del cruce de la corriente como
muestra la Figura 1.21.

Figura 1.21 (a) Distorsión en los puntos de cruce de la corriente (b) Forma de onda de la
corriente de convertidor Buck con CFP propuesto en [18].

Sin embargo, los semiconductores adicionales en esta topología incrementan las


pérdidas, y el sistema de control y el acondicionamiento de las señales se vuelven
más complejos.

1.3.7 CONVERTIDOR BUCK OPERANDO EN MODO DE VOLTAJE


DISCONTINUO DEL CAPACITOR (MVDC)

Modo de voltaje discontinuo del capacitor (MVDC) y modo de corriente discontinuo


del inductor (MCDI) son modos de operación en los que el voltaje a través del
capacitor y la corriente a través del inductor, son cero durante un instante de tiempo
en el periodo de conmutación. [19]
27

El convertidor Buck con un filtro LC que opera en MVDC, tiene propiedades con las
que alcanza naturalmente un factor de potencia con baja distorsión armónica total
de la corriente de entrada; además, la operación MVDC ofrece ventajas adicionales
como: voltaje cero de apagado del interruptor de potencia, voltaje cero al encendido
en el diodo de salida y corriente de entrada continua.

La topología propuesta en [19] se muestra en la Figura 1.22, consta de un filtro LC


de entrada operando en MVDC.

Figura 1.22 Convertidor Buck con filtro de entrada LC propuesto en [19].

Por otra parte, en [20] se propone un convertidor sin puente rectificador monofásico
AC-DC con CFP basado en una topología Buck que opera en MVDC como se
muestra en la Figura 1.23. Esta topología sin puente rectificador, logra que la
trayectoria del flujo de corriente durante cada intervalo de ciclo de conmutación sea
por menos elementos semiconductores, y como resultado se ve reflejado en menos
pérdidas de conducción en comparación con el rectificador Buck convencional
propuesto en [19]. La topología utiliza dos interruptores Q1 y Q2 los cuales pueden
ser manejados por la misma señal de control. Debido al funcionamiento del circuito
Q1 y Q2 son interruptores de un solo cuadrante o si no es el caso se añade un
diodo en serie. Esta topología utiliza un inductor y un capacitor adicional en
comparación con la convencional lo cual es una o desventaja en tamaño y costo,
sin embargo brinda mejor rendimiento térmico y una corriente de entrada continua
de bajo nivel de ruido EMI. El retorno por los diodos Dp y Dn ofrece una trayectoria
de la corriente de retorno de baja impedancia.

La operación del circuito durante el medio ciclo positivo %( †K es: L1, C1, Q1, Lo, Do,
se activan a través del diodo Dp, y durante el medio ciclo negativo, L2, C2, Q2, Lo,
Do, se activan a través del diodo Dn.
28

Figura 1.23 Convertidor Buck sin puente rectificador con filtro de entrada LC [20].

1.3.8 ANÁLISIS

La Tabla 1.2 resume el estudio realizado, basado en la literatura revisada de


topologías tipo Buck con CFP con potencias y voltajes relativamente bajos. Se
muestran varias topologías con estrategias de control que se centran en mejorar la
eficiencia, factor de potencia y reducir la distorsión armónica en la red y los
resultados experimentales muestra una alta eficiencia y un factor de potencia por
encima de 0.82.

Tabla 1.2 Comparación de topologías Buck con CFP

TOPOLOGÍA VO PO ᶯ IEC61000- FP REF.


CONVERTIDOR BUCK (V) (W) (%) 3-2
CONVENCIONAL 90 100 96.5 Clase D - [10]
INVERTIDO 90 100 96.5 Clase D >0.82 [11]
INVERTIDO 84 94 95.6 Clase D >0.9 [12]
SIN PUENTE RECTIFICADOR 160 700 - Clase D 0.93 [13],
[14]
SIN PUENTE RECTIFICADOR 160 700 - Clase D 0.94 [14]
INTERCALADO 80 300 96 Clase D 0.96 [15]
SIN DISTORCIÓN 90 94 97.21 Clase C 0.987 [18]
MVDC 48 100 78.6 Clase A >0.95 [19]
MVDC 48 100 96.3 Clase D 0.985 [20]
29

En base a este estudio, y bajo las consideraciones de tener un convertidor con un


prototipo de un diseño simple, eficiente, confiable, rentable y que cumpla con
especificaciones de un factor de potencia superior a 0.9 y con los estándares que
impone la norma internacional IEC61000-3-2, se escoge la topología expuesta en
[20] para la implementación de la fuente variable de voltaje con CFP.

1.4 CONVERTIDOR BUCK SIN PUENTE RECTIFICADOR


OPERANDO EN MVDC

La Figura 1.24 muestra el rectificador monofásico AC/DC sin puente rectificador


basando en una topología Buck operando en MVDC, y debido su simetría es
suficiente analizarlo durante un medio ciclo de línea (%( †K) para una entrada de
voltaje positivo. El circuito se simplifica al circuito de la Figura 1.26 y se ha dividido
en tres distintas etapas de funcionamiento para su análisis en un periodo de
conmutación %& . La Figura 1.25 muestra las formas de onda durante un ciclo de
conmutación. [19], [20]

Figura 1.24 Convertidor Buck sin puente rectificador con filtro de entrada LC.
30

2 9;;
9: 9:
'2 !%6 !%6 "
'/
'/;'Y
"
'3
'/
'/ 7 '/;'Y

"
'4;'Y
'/;'Y

"
'/;'Y 7 '/
,4;'Y

,4­ ,3 ,2

!1 %6 !2 %6 !3 %6 "

Figura 1.25 Formas de onda del convertidor Buck operando en MVDC [20].

Figura 1.26 Circuito equivalente del convertidor Buck con filtro de entrada LC para %( †K

ETAPA 1: A® = ¯° ±² )

En la Figura 1.27 se muestra el funcionamiento del convertidor para esta etapa,


donde Q1 y Q2 (Q) es activado y el capacitor 4+>³ empieza a descargarse, el diodo
D es polarizado inversamente debido al voltaje a través del capacitor C. La corriente
que fluye a través de Q es la misma que la que fluye a través de L, entonces '( = 'Q
31

mientras que la corriente a través de 4+>³ es '*+>³ = '(+>³ 7 '( . Este intervalo termina
cuando el voltaje a través de 4+>³ decrece linealmente hasta cero.

'/ ;'Y '/ ;'Y 19 !

'4 ;'Y

Figura 1.27 Operación del convertidor Buck con filtro de entrada LC durante !a

ETAPA 2: A® = ¯´ ±² )

En la Figura 1.28 se muestra el funcionamiento del convertidor para esta etapa,


donde QMestá activado y el capacitor 4+>³ permanece con voltaje cero, el diodo D
empieza a conducir siendo su corriente igual a: 'C = '( 7 '(+>³ . La corriente que fluye
a través de Q es la misma que la que fluye a través de /+>³ . Este intervalo termina
cuando Q es desactivado.

'/ ;'Y '/ ;'Y

Figura 1.28 Operación del convertidor Buck con filtro de entrada LC durante !P

ETAPA 3: A® = ¯µ ±² M)

En la Figura 1.29 se muestra el funcionamiento del convertidor para esta etapa


donde QMes desactivado, y el capacitor 4+>³ empieza a cargarse linealmente a
corriente constante hasta un valor máximo ,*¶ siendo '*+>³ = '(+>³ , el diodo D
empieza a conducir siendo su corriente igual a: 'C = '( .
32

'/ ;'Y '/ ;'Y

'4 ;'Y

Figura 1.29 Operación del convertidor Buck con filtro de entrada LC durante !·

1.4.1 OPERACIÓN CON VOLTAJE DE ENTRADA CONSTANTE

Las ecuaciones que a continuación se explican serán empleadas para el análisis


de la operación del convertidor con voltaje de entrada sinusoidal rectificado.
Para la operación con voltaje de entrada constante se asume que /+>³ y / son de
valores lo suficientemente grandes, para considerar contantes a las corrientes a
través de estos durante un ciclo de conmutación; el capacitor 4+>³ es de un valor
suficiente bajo para que opere en MVDC. El capacitor de salida C es de un valor
suficientemente grande, para que el voltaje a través de este pueda considerarse
constante.
En base a esto, de la Figura 1.25 como se muestra en el Anexo A.3 el voltaje
máximo a través de 4+>³ se define como:

'(+>³
,*¶ = AR 7 !)%& !
4+>³ M
(1.54)

El voltaje medio a través de /+>³ en un ciclo de conmutación es cero en estado


estable, entonces, el voltaje medio a través del capacitor 4+>³ es igual al voltaje de
entrada, como se muestra en (1.55).

AR 7 ! E !a ),*¶
,>$ =
K
(1.55)

El Anexo A.4 muestra el procedimiento para obtener la ecuación (1.55).

De manera análoga, el voltaje medio a través del inductor L durante %& es cero,
entonces:
33

!a ,*¶
,# =
K
(1.56)

El Anexo A.5 muestra el procedimiento para obtener la ecuación (1.56).

De la ecuación (1.55) y (1.56) se puede definir el tiempo de descarga de 4+>³ :

,#
!a = AR 7 !)
,>$ 7 ,#
(1.57)

Se puede definir la resistencia de entrada Ba a partir de la ecuación (1.54), (1.55)


y (1.57) como:

,>$ %D ,>$
Ba = = AR 7 !)P b d
'(+>³ K4 ,>$ 7 ,#
(1.58)

1.4.2 OPERACIÓN CON VOLTAJE DE ENTRADA SINUSOIDAL


RECTIFICADO

Para un voltaje de entrada sinusoidal, se considera que el convertidor trabaja en


estado estacionario y además se consideran ciertos supuestos: [19], [20]

a) Se realiza el análisis sobre la mitad de un ciclo de línea %( †KMpara un voltaje


de entrada puramente sinusoidal.

%(
,>$ A") = ,>$ ¸¹ºA»( ") MMMMMMM" ¼ bIJ d
K
(1.59)

b) El periodo de conmutación es mucho menor que el periodo de línea,


entonces el voltaje de entrada puede ser considerado constante durante %& .
%D ½ %( MMMMMMMMMMMMMMMMMMMMMMMM  MMMMMMMMMMMMMMMMM ,>$ = §9:6"MMMMMMMM" ¼ AIJ %D ) (1.60)

c) El capacitor de salida C es un elemento de almacenamiento de energía de


baja frecuencia, por lo que el voltaje a la salida Vo durante %( †KMMy %& puede
ser considerado constante.
34

d) El ciclo de trabajo es constante


e) El convertidor opera en MVDC sobre una gran parte de %( †K, entonces el
capacitor de entrada 4+>³ es de bajo valor.
f) Las inductancias /+>³ y L no son elementos de almacenamiento de energía
de baja frecuencia por lo que la corriente a través de estas varía de cero a
un valor máximo durante %( †K, pero la corriente a través de estas puedan
considerarse constante durante %& .
g) El análisis se realiza con voltajes y corrientes promediadas durante %& .

Relación de conversión [20]

Se define la relación de conversión como el voltaje de salida sobre el voltaje de


entrada máximo:

,#
­D¾¿ =
,>$ (1.61)

Se puede considerar que el voltaje de entrada en la ecuación (1.58) es el sinusoidal


rectificado de (1.59) entonces, se puede obtener una resistencia de entrada que
depende del tiempo:

%D ,>$ ¸¹ºM»( "


Ba A") = AR 7 !)P
K4+>³ ,>$ ¸¹º »( " 7 ,# (1.62)

  A?)
La corriente de entrada puede ser expresada comoM À¢£A?) considerando (1.59) y
‘

(1.62), entonces:

,>$ ¸¹º »( " 7 ,#


'(+>³ A") =
%D
K4+>³ AR 7 !)
P (1.63)

Según la ecuación (1.63), se puede observar que la corriente de entrada tiene forma
sinusoidal. El convertidor Buck es capaz de funcionar solo cuando el voltaje de
entrada es mayor que el voltaje de salida, es así que la ecuación (1.63) es válido
únicamente para ,>$ ¸¹º »( " Á ,# . La Figura 1.30 muestra el modo de operación
para el voltaje y la corriente durante un medio ciclo de línea.
35

De la Figura 1.30 se puede obtener el límite para que el convertidor funcione ("a ) y
se da cuando ,>$ ¸¹º »( "a = ,# , es así que con la ecuación (1.61) se tiene:

R
"a = X¨§6':­D¾¿
»( (1.64)

La operación del convertidor es posible solo para:

%(
"M ¼ b"a J 7 "a d
K
(1.65)

La corriente que fluye a través del inductor de salida L puede ser obtenida tomando
en cuenta la eficiencia del convertidor:

,# × '( A") = ™ × ,>$ 6': »( " × '(+>³ A")! (1.66)

Reemplazando (1.63) en (1.66):

™A,>$ ¸¹º »( " 7 ,# ) ,>$ ¸¹º »( "


'( A") = ×
%D ,#
K4+>³ AR 7 !) P (1.67)

%(
" ¼ b"a J 7 "a dMMMM MMMMM™ = Z;'§'Z:§'XM
K

,':

,':

,9

/!Z:! 4;'Y !Z:!­,34 /!Z:!


'/ ­431 ­431

"1 "2 %/
7 "2
%/

%/
7 "1
2 2

Figura 1.30 Modo de operación del convertidor Buck en MVDC durante un medio ciclo de
línea.

La relación de conversión ­D¾¿ se puede obtener a partir del balance de energía


sobre medio ciclo de línea:
36

ÅÆ
‚?
P ‘
Ã>$ = Ä 0>$ A")'(+>³ A")@"M

(1.68)

Usando (1.59), (1.61), (1.63) y (1.64) en (1.68) se tiene:

Œ P
,>$ P 4+>³ %( R X¨§6Z:­&>$ ­&>$ ÈR 7 ­&>$
Ã>$ = ‹ 7 7 Ž
AR 7 !)P %& ‹K Ç Ç Ž
(1.69)
Š 

El Anexo A.6 muestra el procedimiento para obtener la ecuación (1.69).

La energía de salida W oMsobre %( †K:

%( ,# P
Ã# =
K B
(1.70)

Balance de energía considerando la eficiencia del sistema es:

Ã# = ™Ã>$ (1.71)

Reemplazando (1.69) y (1.70) en (1.71) se obtiene:

Œ P
AR 7 !)P R X¨§6Z:­&>$ ­&>$ ÈR 7 ­&>$
­&>$ P
[ 7™‹ 7 7 Ž=I
É ‹K Ç Ç Ž
(1.72)
Š 

Donde el parametro K del sistema viene dado por:

K%D
[=
B4+>³ (1.73)

La Figura 1.31 muestra la relación de ­&>$ como función del ciclo de trabajo, donde
K es un parámetro y se lo dibuja para diferentes valores: en línea continua para una
eficiencia igual a 1 y con línea entrecortada para una eficiencia de 0.8. La línea azul
separa los 2 modos de MVDC y MVCC para »… = ʆK. Como se puede observar
para altos valores de K resulta un rango más amplio de MVDC sobre el ciclo de
línea de AC, pero también significa una operación más profunda en MVDC.
37

­6': !ËX¨X!Z;'§'Z:§'X = 1 ­6': !ËX¨X!Z;'§'Z:§'X = 0.8 /íÌ'"Z!­,34

`;'§'Z:§'X = 1,!!![Ì': = 8.125

ÏÐ|x 7 BZYX§'ó:!@Z!§9:0Z¨6'ó:, `:"¨X@X!¨Z§"';'§X@X!6':^69'@XY


`;'§'Z:§'X = 0.8,!!!!![Ì': = 6.5
*

­,34

¯ 7 4'§Y9!@Z!%¨XÍXÎ9

Figura 1.31 Relación de conversión ­&>$ con respecto al ciclo de trabajo !. [19]

Límites de MVDC

La operación en MVDC se da para !a <M!, aplicando esto para la ecuación (1.57) se


tiene:

,# AR 7 !) ­&>$ AR 7 !)
!a A") = = Ñ!
,>$ ¸¹º »( " 7 ,# 6':A»( ") 7 ­&>$ (1.74)

Esto quiere decir que el convertidor opera en MVDC solo cuando:

­&>$ %(
6':A»( ") Á MMMËX¨XMMMMM" ¼ b"P J 7 "P dM
! K
(1.75)

De la ecuación (1.75) se puede obtener el tiempo "P cuando el convertidor empieza


a operan en MVDC y es en 6':A»( "P ) = MMMentonces:
¶Ò¢£
¦

R ­&>$
"P = ÓÔÕ¸¹º b d
»( ! (1.76)
38

La operación del convertidor es posible para " ¼ Ö"a J 7 "a Ø, y por otra parte, la
ÅÆ
P

operación de MVDC es posible para " ¼ Ö"P J 7 "P Ø. Entonces es posible tener la
ÅÆ
P

operación en MCDI del inductor L cuando la operación en MVDC del capacitor 4+>³
no es posible como se muestra en la Figura 1.30.

Los supuestos hechos simplifican en gran medida el análisis y las ecuaciones


obtenidas. Es así que la ecuación (1.63) da una buena perspectiva de las
propiedades de inherentes de la corrección del factor de potencia del circuito.

Esfuerzo de Voltaje para los elementos conmutadores

La desventaja de operar profundamente en MVDC son los grandes esfuerzos de


voltaje para los interruptores del convertidor, entonces el parámetro K debe ser
seleccionado cuidadosamente para asegurar la operación en MVDC y con voltajes
de estrés aceptables. Un buen diseño debe equilibrar los armónicos de la corriente
de entrada, voltaje de estrés sobre el interruptor y la eficiencia global de la
conversión.

En un medio ciclo de línea el esfuerzo de voltaje de Q es:

KA,>$ 7 ,# )
,Q =
R7!
(1.77)

El Anexo A.7 muestra el procedimiento para obtener la ecuación (1.77).

Para la operación en MVDC se consideran que los voltajes máximos a través de


los capacitores de entrada, los MOSFETs y el diodo son iguales, y viene dados por
la ecuación (1.77).

Se puede definir un coeficiente de esfuerzo de voltaje para Q con entrada sinusoidal


rectificada como [D&>$ = ,Q ¬,>$ , dando como resultado:

KAR 7 ­&>$ )
[D&>$ =
R7!
(1.78)

La Figura 1.32 muestra el esfuerzo de voltaje que sufre Q con respecto al ciclo de
trabajo para diferentes valores del parámetro K, y se lo dibuja para un eficiencia
igual a 1 con linea continua y 0.8 con línea entrecortada.
39

ÙÐ|x
² !ËX¨X!Z;'§'Z:§'X = 1 ÙÐ|x
² !ËX¨X!Z;'§'Z:§'X = 0.8 /íÌ'"Z!@Z!ÙÐ|x
² !

Ð 7 49Z;'§'Z:"Z!@Z!Z6;^Z¨Ú9!@Z!09Y"XÎZ, `:"¨X@X!¨Z§"';'§X@X!6':^69'@XY
ÙÐ|x

¯ 7 4'§Y9!@Z!%¨XÍXÎ9

Figura 1.32 Estrés de voltaje [D&>$ en función del ciclo de trabajo. [19]

Se observa la situación que K es proporcional al estrés de voltaje.

Selección de componentes.

Capacitores:

El valor de los capacitores de entrada se puede calcular a partir de la ecuación


(1.73):

K%D
4+>³ = 4+>³a = 4+>³P =
[B
(1.79)

Inductores:

Los inductores de entrada se seleccionan con el objetivo de mantener una corriente


constante en la fuente durante el ciclo de conmutación, además debe ser lo
suficiente pequeño para minimizar el desplazamiento de desfase entre el voltaje y
la corriente de entrada.

Límite inferior: /+>³a y /+>³P deben ser lo suficientemente grandes para


mantener la corriente de entrada continua y evitar la resonancia con los
condensadores 4+>³ durante el ciclo de apagado. El Anexo A.8 muestra cómo
se llegó a la ecuación (1.80).
40

R AR 7 !)%D P
/+>³aÛ>$ = /+>³PÛ>$ Ü b d
4+>³ KÇ
(1.80)

· Límite superior: las inductancias /+>³a y /+>³P no pueden ser tan grandes con
el fin de minimizar el desplazamiento de fase entre V in e Iin. Entonces la
reactancia de /+>³a y /+>³P debe ser menor que la resistencia efectiva de
entrada del convertidor.

AR 7 !)P %D
/+>³aÛW€ = /+>³PÛW€ ½
ÉÇ4+>³ ;( (1.81)

Donde ;( es la frecuencia de línea del voltaje de entrada. El Anexo A.9


muestra cómo se llegó a la ecuación (1.81).

Asumiendo constante el voltaje de salida debido a que C es lo suficientemente


grande, el convertidor puede funcionar en modo de resonancia debido a la
presencia del circuito resonante con el capacitor de entrada 4+>³ My el equivalente L
de los inductores es (/+>³ ||M/). Para mantener la corriente constante a través de Lo
durante un periodo de conmutación, la frecuencia de resonancia de 4+>³ M y L tiene
que ser mucho más pequeña que la frecuencia de conmutación, entonces:

R !%D P
/Ü b d
4+>³ KÇ (1.82)

El Anexo A.10 muestra cómo se llegó a la ecuación (1.82).

Análisis de la corriente de entrada

El valor rms de la corriente de entrada rectificada se obtiene a partir de la ecuación


(1.63):

ÅÒ
R ‚?
=Ý Ä
˜
P ‘
1WcJFÛ& '(+>³ P A")@A")!
Ç ?‘ (1.83)

K4+>³ ,>$
1WcJFÛ& = \]9
AR 7 !)P %D (1.84)
41

Donde:

R vR E K­D¾¿ P w
]9 = Þ E ­D¾¿ P 7 6':‚a A­D¾¿ )
K Ç

ß­D¾¿ P
7 ÈR 7 ­D¾¿ P à
(1.85)

La potencia de entrada media sobre medio ciclo de la línea se define como:

ÅÒ
R P ‚?‘
š>$JWâã = Ä 0>$ A")'(+>³ A")@A")
Ç ?‘ (1.86)

Las ecuaciones (1.59) y (1.63) se evalúan en la ecuación (1.86) dando como


resultado:

K4+>³ ,>$ P Ç
š>$JWâã = Þ 7 6':‚a A­D¾¿ ) 7 ­D¾¿ ÈR 7 ­D¾¿ P à
ÇAR 7 !) %D K
P (1.87)

Y el FP puede ser calculado acorde a la relación:

š>$JWâã
Oš =
1WcJFÛ& ,WcJFÛ& (1.88)

Como se observa en la Figura 1.30, un mayor FP se puede lograr con un voltaje de


salida bajo, pero esto puede degradar significativamente el rendimiento del
convertidor.

Control

Se realiza un análisis en pequeña señal del convertidor, considerando 100% de la


eficiencia, a partir de la ecuación (1.87), la corriente promedio a través del inductor
('( ) sobre un medio periodo de línea es š>$JWâ㠆,# :

K4+>³ ,>$ P Ç ,# ,# ,# P
'( = ä 7 6': ‚a
b d 7 ÝR 7 b d å
ÇAR 7 !)P %D ,# K ,>$ ,>$ ,>$ (1.89)

En la ecuación (1.89) se aproxima el 6':‚a y la raíz cuadrada, mediante el uso de


los dos primeros términos de su serie de Taylor, y se tiene:
42

K4+>³ ,>$ P Ç ,# R ,# ·
'( = Þ 7 Kb dE b d à
ÇAR 7 !)P %D ,# K ,>$ ß ,>$ (1.90)

Para obtener el modelo en pequeña señal, se deja al sistema operando en un


estado estacionario y se introduce las perturbaciones de pequeña señal en el punto
de operación. Se sustituyen las variables perturbadas en la ecuación (1.90) y
despreciando los productos de las perturbaciones de pequeña señal, la función de
transferencia de interés de lazo abierto de pequeña señal es:

0
æA6) K,# ¨P ††B(
Ÿ =
#
h
! A6) BAR 7 !) R E 649A¨P ††B( )
âæ
ç£ ¤S (1.91)

Donde:

R K4+>³ R
= vK 7 ­D¾¿ P w E
¨P Ç%D AR 7 !) ­
P B
(1.92)

Con el fin de poder aplicar teorías de control convencionales sobre el sistema para
el correcto desempeño de los circuitos que se diseñarán más adelante, la función
de transferencia obtenida en la ecuación (1.91) y (1.92) será utilizada para un
análisis y posterior diseño del sistema de control.
43

CAPÍTULO 2

2 MODELAMIENTO, DIMENSIONAMIENTO Y DISEÑO DEL


SISTEMA Y SUS ALGORITMOS DE CONTROL

En este capítulo se muestra el procedimiento del cálculo y dimensionamiento de


cada uno de los elementos que conforman la fuente variable con CFP. Se comparan
el modelo analítico en pequeña señal, y el modelo obtenido mediante simulación
con la herramienta computacional PSIM®. Y, finalmente se presenta el diseño de
los controladores y la estrategia de control seleccionada que satisfacen los
requerimientos del sistema, para cada una de las etapas del sistema.

2.1 DISEÑO DE LA FUENTE VARIABLE DE VOLTAJE CON CFP

2.1.1 DESCRIPCIÓN DEL FUNCIONAMIENTO

La arquitectura seleccionada para la fuente variable de voltaje con CFP tiene como
suministro de energía la red eléctrica 120 Vrms y 60Hz y está conformada por dos
etapas como se muestra la Figura 2.1, cada una de las etapas está formada por
MOSFETs de potencia controlados por modulación de ancho de pulso (PWM).

La primera etapa está destinada a la corrección del factor de potencia y la


conversión AC/DC. Para cada medio ciclo de línea (positivo o negativo) opera un
convertidor Buck síncrono con un filtro LC a la entrada. Debido a la simetría del
circuito el funcionamiento es el mismo para cada semiciclo. Durante el semiciclo
positivo Lfil1, Cfil1, D1, Q1, Q, DL1, L1, C1, trabajan a través del diodo Dp, y durante
el semiciclo negativo, Lfil2, Cfil2, D2, Q2, Q, DL1, L1, C1, trabajan a través del diodo
Dn. Los MOSFETs Q1 y Q2 son manejados por la misma señal de control, y la
señal complementada agregada el respectivo tiempo muerto, maneja el MOSFET
Q.

La segunda etapa se encarga de la regulación del voltaje de salida de la fuente y la


conversión DC/DC, el voltaje DC de la primera etapa es manejado por un
convertidor Buck síncrono, obteniéndose a la salida un voltaje DC en un rango
44

variable de 5V a 30 V con una potencia de 5W a 60 W y una corriente máxima de


2A.

PRIMERA
R ETAPA
RIMERA ETA
T PA
P SEGUNDA
EGUNDA ETAPA
ETAPA
AC/DC
AC/
C/DC DC/DC
DC/DC

Figura 2.1 Esquemático básico de la fuente variable de voltaje con CFP

2.1.2 DISEÑO DE LA ETAPA DE POTENCIA

La Tabla 2.1 muestra los parámetros en base a los que se diseña el sistema, para
una eficiencia provista en cada etapa del 80%.

Tabla 2.1 Parámetros de la fuente variable de voltaje con CFP

PRIMERA ETAPA
,>$ 120 Vrms - 60 Hz
,#a 70 V
OD 45 KHz
45

š>$a 7.81 a 93.75 W


š#a 6.25 a 75 W
SEGUNDA ETAPA
,>$P 70 V
,#P 5 a 30 V
OD 30 KHz
š>$P 6.25 a 75 W
š#P 5 a 60 W
1#Ûè€ 2A

Diseño Primera Etapa

2.1.2.1.1 Relación de conversión

De la ecuación (1.61) se puede definir la relación de conversión con los datos de la


Tabla 2.1 :

HI
­D¾¿ = = IëÉR
RKIêK

En la Tabla 2.2 se puede encontrar la impedancia de salida equivalente en esta


etapa para el rango de potencia requerido.

Tabla 2.2 Impedancia de salida de la primera etapa

š#a ,#a B#a = ,#a P¬š#a


75W 70V 65.33ῼ
6.25W 70V 784ῼ

2.1.2.1.2 Selección de componentes.

A fin de operar en el límite de MVDC y proteger a los interruptores de potencia de


grandes esfuerzos de voltaje, así pues, el parámetro K ha sido seleccionado
cuidadosamente con el valor de ­D¾¿ calculado. De la Figura 1.31 es seleccionado
el parámetro K=25 para un ­D¾¿ = IëÉR en el límite de MVDC. Se reemplaza los
datos obtenidos para la máxima potencia que va a operar el convertidor, tomando
46

en cuenta que es el punto donde se tiene mayores esfuerzos de voltaje. Se calcula


el valor de 4+>³ en la ecuación (1.79) y se tiene:

K
4+>³ = = KHëK:O
ìíëßßî × Kí × ÉíëIIIïÚ

Se escoge dos capacitores de valor igual 6.8nF y 18nF.

4+>³a = 4;'YP = KÉëN:O

Con el valor de 4+>³ escogido, el valor de K es recalculado a partir de la ecuación


(1.73) y se tiene K=27.4.

La potencia de entrada media está dada por la ecuación (1.87), de donde se puede
obtener la relación de trabajo máximo que va operar el convertidor, a partir de los
datos de Tabla 2.2:

!ÛW€ = Iëíð

El cálculo del inductor se hace para !ÛW€ :

· Límite inferior: De la ecuación (1.80) se obtiene el valor mínimo para /+>³a y /+>³P

R AR 7 Iëíð)
P
/+>³aÛ>$ = /+>³PÛ>$ Ü Þ à = Níñï
KÉëN:O KÇ × Éí[ïÚ

· Límite superior: De la ecuación (1.81) se obtiene el valor máximo para /+>³a y


/+>³P

AR 7 Iëíð)P
/+>³aÛW€ = /+>³PÛW€ ½ = IëKï
ÉÇ × KÉëN:O × ìIïÚ × Éí[ïÚ

Así pues, se escoge un valor /+>³aÛW€ = /+>³PÛW€ = RRëíÌï

De la ecuación (1.82) se peude obtener el valor de L1

R Iëíð P
/a Ü b d = RHíëßñï
KÉëN:O KÇ × Éí[ïÚ

Entonces se escoge un valor de /a = IëHÌï


47

Para determinar el valor del capacitor de salida se parte de la fórmula (1.11), es


necesario considerar la condición en la que el rizado del voltaje es máximo y esto
ocurre en δ=0.5. Para factor de rizado de voltaje 8,# = RÌ, se tiene que:

ARHI 7 HI) × Iëí


4a = = ÉëÉÌO
N × IëIIR × Éí[òÚ P × IëHÌï

Se elige dos capacitores de C=2200uF.

2.1.2.1.3 Estrés de Voltaje para los elementos conmutadores

Se analiza el estrés de voltaje en los elementos conmutadores para la peor


condición analizada !ÛW€ =0.59. La Figura 2.2 muestra el estrés de voltaje con
respecto al ciclo de trabajo ! a partir de la ecuación (1.77), para el parámetro
escogido K=27.4. Por ello es recomendable escoger valores de K de tal forma que
el conversor opere en el límite de MVDC y así poder obtener un esfuerzo menor de
voltaje sobre los capacitores de entrada Cfil1 y Cfil2, los MOSFETs Q1, Q2, Q y los
diodos D1 y D2.

VOLTAJE DE ESTRES

Rango de Voltaje de estres del Sistema


Voltaje de estres maximo
700

600
Vs!(V)

500

400

300

200
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8

d!-!Ciclo!de!Trabajo

Figura 2.2 Esfuerzo de voltaje en función del ciclo de trabajo

2.1.2.1.4 Análisis de la corriente de entrada

En la Figura 2.3 se muestra un análisis teórico de la corriente de entrada del


convertidor a partir de la ecuación (1.63), dibujado para diferentes ciclos de trabajo.
48

La línea en amarrillo muestra el intervalo de operación de la inductancia L1 en


MCDI, se observa que la longitud de este intervalo aumenta en la medida que el
convertidor trabaja en el límite de MVDC, para el resto del intervalo se tiene
operación del Cfil1 y Cfil2 en MVDC. Las propiedades de CFP no se pierden cuando
L1 trabaja en MCDI [19]. Los modos de operación del convertidor se resumen en la
Tabla 2.3, donde "a y "P son calculados a partir de las ecuaciones (1.64) y (1.76).

OPERACION DEL CONVERSOR DURANTE MEDIO CICLO DE LINEA


3.5
Vin
d=0.42
3 d=0.52
d=0.62
2.5 d=0.72
iLfil!(A)!

1.5

0.5

0
0 1 2 3 4 5 6 7 8
-3
x 10

Figura 2.3 Corriente entrada del convertidor durante %( †K


tiempo!(t)

Tabla 2.3 Operación del convertidor durante %( †K

Operación del Operación del Operación del convertidor


¯ t1 t2 convertidor convertidor en en MCDI
(ms) (ms) (ms) MVDC (ms) (ms)
0.42 1.126 3.64 (1.126 , 7.208) (3.64 , 4.69) (1.126 , 3.64) U (4.69 ,
7.208)
0.52 1.126 2.42 (1.126 , 7.208) (2.42 , 5.91) (1.126 , 2.42) U (5.91 ,
7.208)
0.62 1.126 1.93 (1.126 , 7.208) (1.93 , 6.41) (1.126 , 1.93) U (6.41 ,
7.208)
0.72 1.126 1.61 (1.126 , 7.208 ) (1.61 , 6.72) (1.126 , 1.61) U (6.72 ,
7.208)
49

En base al analisis realizado de la operación del convertidor en un medio ciclo de


línea, en la Figura 2.4 a partir de la ecuación (1.74), se muestran los valores que va
tomando !a durante un medio ciclo de línea. En línea continua se obseva la relación
!a ≤M! condición que garantiza la operación en MVDC. Un operación profunda en
MVDC se tiene para ciclos de trabajo mucho mayores que MSIN.
d1
1
d=0.42
0.9 d=0.52
d=0.62
0.8 d=0.72

0.7

0.6
d!1!

0.5

0.4

0.3

0.2

0.1

0
0 1 2 3 4 5 6 7 8
-3
tiempo!(t) x 10

Figura 2.4 Límites de MVDC

La corriente rms de entrada viene dada a partir de la ecuación (1.85) y se la muestra


en la Figura 2.5, en función del ciclo de trabajo.

Figura 2.5 Corriente rms de entrada del convertidor con respecto a !


50

La potencia de entrada media viene dada a partir de la ecuación (1.87) y se la


muestra en la Figura 2.6, en función del ciclo de trabajo.
POTENCIA MEDIA DE ENTRADA

200

Pin!(W) 150

100

50

0
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7

Figura 2.6 Potencia de entrada media del convertidor con respecto a !


d!-!Ciclo!de!Trabajo

El factor de potencia del convertidor teórico es calculado a partir de la ecuación


(1.88) y se obtiene un šO = Iëðìß.

A partir del análisis realizado para esta etapa, en la Tabla 2.4 se resume el diseño
y muestra los elementos que formarán parte del convertidor de la primera etapa y
así satisfacer con los parámetros de operación establecidos.

Tabla 2.4 Componentes del convertidor de la primera etapa

Componente Valor Elemento


Filtro de línea en modo común
Lfil1 , Lfil2 11.5mH
Coilcraft CMT3-11.5-6L
L1 0.7mH Inductor Toroidal
Cfil1 , Cfil2 18nF + 6.8nF Capacitores de polipropileno
C1 2200uF + 2200uF Capacitor Electrolítico 160 V
D1, D2, D, Dp , Dn Diodo Ultra-Fast HFA25TB60PBF (600V, 25A, Vf=1.3V)
Q1, Q2, Q MOSFET STW15NK90Z (900V, 15A, 400mῼ)
51

Diseño Segunda Etapa

La segunda etapa está conformada por un convertidor DC/DC Buck síncrono que
trabaja a una frecuencia de conmutación de 30KHz, con un voltaje de salida que
varía entre 5 y 30V en un rango de potencias de 5 a 60W, el voltaje de alimentación
está dado por el voltaje de salida de la primera etapa.

Para el dimensionamiento del convertidor se toma en cuenta los puntos críticos de


operación del convertidor con el fin de obtener un apropiado diseño y asegurar el
buen funcionamiento del sistema en todo el rango de operación.

2.1.2.2.1 Determinación del valor del inductor

Para el cálculo del inductor es necesario considerar la condición en la que el rizado


de la corriente es máximo y esto sucede cuando δ=0.5. En la ecuación (1.9) se
reemplazan los valores para el cálculo de /P , con un rizado de corriente del 50%
con respecto a la corriente mínima suministrada por el convertidor que es 0.3 A,
entonces 8'(A#$) =0.15A y asumiendo un B( =1ῼ y BCDA#$) =0.15ῼ se tiene:

HI 7 ßí 7 IëíAIëRí E R)
/P = × Iëí = ßëNÌï
IëRí × ßI[ïÚ

/P = ÉÌï

2.1.2.2.2 Determinación del valor del capacitor

De la misma manera, para el cálculo del capacitor es necesario considerar la


condición en la que el rizado del voltaje es máximo y esto sucede cuando δ=0.5. En
la ecuación (1.11) se reemplazan los valores para un rizado de voltaje de
8,# =1mV, entonces:

AHI 7 ßí)Iëí
4P = = ìIHñO
N × IëIIR × ßIIIIïÚ P × ÉÌï

Con el fin de satisfacer un voltaje de salida continuo se escoge un capacitor de


680uF.
52

2.1.2.2.3 Corrientes y Voltajes a través de los MOSFETs

Con el fin de analizar al corriente máxima que soportaran los MOSFETs de


potencia, el cálculo de la corriente se realiza en base a la condición crítica de δ=1.

De la ecuación (1.12) podemos obtener la corriente por Q3 y Q4:

1Q·JQó = KL

La corriente pico sobre Q3 y Q4 se obtiene de la ecuación (1.14) :

IëRí
1Q·JQóATU) = K E = KëIHíL
K

El voltaje máximo que van a soportar los MOSFETs están dadas por la ecuación
(1.15):

,Q·JQóA#++) = HI,

En la Tabla 2.5 se muestra los elementos que formarán parte del convertidor de la
segunda etapa y así cumplir con los parámetros de operación establecidos.

Tabla 2.5 Componentes del convertidor de la segunda etapa

Componente Valor Elemento


L2 4mH Inductor Toroidal
C2 680uF Capacitor Electrolítico de Aluminio 200V
Q3, Q4 MOSFET FQP19N20C (200V, 19A, 170mῼ)

2.1.3 ESQUEMA GENERAL DEL SISTEMA

La Figura 2.7 se muestra la arquitectura del sistema de la fuente variable de voltaje


con CFP donde se detallan los componentes electrónicos que lo conforman.
53

Indicadores Visuales (Leds, Display)

Salidas
Digitales
Ref. Voltaje de
Salida
ON/OFF
Entrada Digital Entradas Analógicas
Sistema Potencia Sistema
Microprocesado Sensores de
Fuente de Voltaje
alimentación 5V
microcontrolador

Salidas
Fuente de 5V Digitales
Alimentación
Disparos 1 12V Voltaje salida
cada etapa

Disparo ON/OFF
Mosfets Sistema Potencia

Fuente de 5V
Alimentación Sistema de
Disparos 2 12V Potencia

Figura 2.7 Esquema General del Sistema.

En base a esto se desarrollan los circuitos auxiliares que a continuación se detallan.

Fuentes de alimentación

Para la alimentación de la etapa de control es necesario disponer de niveles de


voltaje de 5 V y 12V.

La Figura 2.8 muestra la fuente de voltaje de 5V utilizada para alimentar al


microcontrolador. Se utiliza el módulo reductor de voltaje DC-DC LM2596 que es
capaz de regular un voltaje de entrada de 4V-35V a un voltaje de salida de 1.23V-
30V con una corriente de salida máxima de 3A, además que se implementa un filtro
PI a la entrada de la fuente de dos capacitores y una bobina, de tal forma de eliminar
interferencias que puedan afectar la alimentación del sistema microprocesado.
54

TRANSFORMADOR
PUENTE
2W005G L3 convertidor DC-DC LM2596
5V_uC
1uH
VRED
120 Vrms @ 60 Hz C2 C3
C19 3300uF 1nF
3300uF

TRAN-2P2S

GNDuC

Figura 2.8 Circuito de las fuentes de alimentación 5V del microcontrolador

La Figura 2.9 muestra las fuentes de alimentación de 5 y 12 V para los circuitos


integrados que van a manejar las señales de disparo de los MOSFETs: opto-
acopladores y drivers de disparo, se utilizan los reguladores de voltaje LM7805 (5V)
y LM7812 (12V) respectivamente.
7805
1 3 5V
VI VO

GND
C17 C14
C13 1000uF C16

2
0.1uF 2200uF 0.1uF

TRANSFORMADOR GND
PUENTE RECTIFICADOR
2W005G

78012
VRED 1
VI VO
3
12V
120 Vrms @ 60 Hz

GND
C18
C11 C12 C15
2

0.1uF 2200uF 100uF 0.1uF


TRAN-2P2S

GND

Figura 2.9 Circuito de las fuentes de alimentación 5V y 12V

Etapa de disparo de los MOSFETs

Con el fin garantizar una apropiada activación y desactivación de los MOSFETs,


además de minimizar las perturbaciones que pueden afectar el control, se emplea
una etapa de aislamiento entre la etapa de control y la de potencia como se muestra
en la Figura 2.10. Se utiliza un circuito opto-acoplador para aislar la señal
proveniente del microcontrolador, y finalmente un driver de disparo, que maneja las
señales que van a los MOSFETs de potencia.
55

Opto-acoplador Driver de Disparo

Figura 2.10 Diagrama de bloques de la etapa de disparo

2.1.3.2.1 Circuito Opto-acoplador

Por seguridad y con el propósito de proteger el circuito de control contra daños de


sobretensiones, transitorios, y fugas de corriente provenientes del circuito de
potencia, estos dos circuitos deben ser aislados; para ello se seleccionó el circuito
integrado 6N137 puesto que, posee buenas características de respuesta y
aislamiento. Se emplea el circuito que se muestra en la Figura 2.11, y al ser una
opto-nand el diseño se realiza de manera de obtener a la salida una señal no
invertida [21].
5V

U1
8

2 7
R10
3.3V uC PWM2_1
1k
Tfòbm!vD
HIGH_L
R9 3 6
270
5

6N137
GND

Figura 2.11 Configuración del circuito integrado 6n137

2.1.3.2.2 Driver de Disparo

El circuito empleado para conectar el IR2110 para la segunda etapa se muestra en


la Figura 2.12. El convertidor Buck síncrono necesita dos puntos de referencia para
activar los MOSFETs de la parte inferior y superior. Se seleccionó el circuito
integrado IR2110 utilizado para aplicaciones monofásicas que permite disparar
simultáneamente a un transistor MOSFET referido a tierra y a otro referido a un
nivel diferente de tensión mediante un circuito de Bootstrap. La selección del
capacitor de BOOTSTRAP se hace de acuerdo a la fórmula dada por el fabricante
56

en la ecuación (2.1). Un capacitor de valor muy grande demorará en cargarse, en


cambio un condensador de valor muy pequeño no garantizará el voltaje suficiente
para activar el MOSFET. [22]

1õö&AÛè€) 1*ö&A³VWU)
K ’K2ã E E 2³& E “
; ;
4•‡‡Å ô
,cc 7 ,+ 7 ,(D 7 ,¶÷$
(2.1)

Para el cálculo del capacitor de Bootstrap en la Tabla 2.6 se presentan los datos
tomados de las hojas de datos de los MOSFETs, el driver de disparo y el diodo:

Tabla 2.6 Cálculo del circuito de Bootstrap [23] [24] [25]

Parámetro Símbolo Valor Unidad


MOSFET
Carga necesaria del Gate del MOSFET Qg 40.5 Nc
Nivel de carga traslada por ciclo entre Drain y Source QLS 5 nC
Caída de voltaje en el MOSFET de baja VLS 1.5 V
Frecuencia de operación F 30 KHz
GATE DRIVER IR2110
Corriente de polarización inactiva VBS Iqbs(máx) 125 µA
Voltaje de alimentación VCC 12 V
Mínimo voltaje entre VB y VS VBS 0 V
Circuito de Bootstrap
Corriente de del capacitor Icbs(leak) 2 µA
Caída de voltaje en el diodo de Bootstrap UF4007 VF 1.7 V
Capacitor de Bootstrap CBOOT 20 nF

Se usa un pequeño circuito contra sobretensiones y descargas con una resistencia


entre Gate y Source del MOSFET de 82Kῼ. Se coloca una resistencia en el circuito
de Bootstrap que limita la corriente de carga del capacitor 4•‡‡Å por lo que se
escoge de un valor de 4.7ῼ de acuerdo a lo recomendado en [26].
57

Vo1

DGATE_H

UF4007

12V
Q3

5V
RGATE_H FQP19N20C

33
R5
4.7 R8
82k
11 3
IR2110 DBOOP
UF4007
12 7
PWM2_1 VDD VC
HIN VB
13 8
SD HO
VS
6 CBOOT
14 1 0.47uF
PWM2_2 LIN
VSS COM LO
R6
Q4
15 2 FQP19N20C
4.7

R7
GND RGATE_L 82k

33

DGATE_L

UF4007
GND

Figura 2.12 Conexión circuito integrado IR2110 para la Segunda Etapa

El máximo voltaje que van a soportar los MOSFETs de la primera etapa se analizó
en la Figura 2.2, cuyos valores sobrepasan las especificaciones máximas de voltaje
que soporta el IR2110 dados en [23]. Por esta razón el circuito empleado para
conectar el IR2110 para la primera etapa es como el que se muestra en la Figura
2.13.
5_H (V)

12_H (V)

9 3 IR2110_H1
10 6
VDD VC
HIN VB
11 7
SD HO
5
12
VS
1
R17
PWM1_1 LIN
VSS COM LO
20 D_H1
Q1
STW15NK90Z
13 2 IR2112

UF4007
GND1
RQ_H2
33k
5_H (V) 12_H (V)

9 3 IR2110_H2
D_H2
10 6
VDD VC
HIN VB
11
SD HO
7 UF4007 Q2
5 STW15NK90Z
12
VS
1
R18
PWM1_1 LIN
VSS COM LO
20
13 2 IR2112
RQ_H1
GND1 33k

5V 12V
9 3 IR2110_L
10 6
VDD VC
HIN VB
Q
11 7
SD HO
5 RGATE
VS
12 1 STW15NK90Z
PWM1_2 LIN
VSS COM LO
20
13 2 IR2112 D1

UF4007 RLINF
33k
GND

Figura 2.13 Conexión circuito integrado IR2110 para la Primera Etapa


58

El valor idóneo de la resistencia BøÅù dependerá de la aplicación y frecuencia de


trabajo y el valor tomado de la hoja de datos del MOSFET. En la primera etapa
BøÅù = KIî y en la segunda etapa BøÅù = ßßî.

Sistema de medición de voltajes

Para la medición de voltaje de la salida de cada etapa, se utilizan divisores de


voltaje cuyas salidas son conectadas a la entrada de los canales analógicos del
microcontrolador seguidas de un seguidor de voltaje, como se muestra en la Figura
2.14.

5V_uC
Vo1

1ERA U4:B
4

voltajeRealimentado1
5
49%

7
6

100k
11

MCP6004

R3
1.8k
GNDuC

GNDuC

Figura 2.14 Circuito de medición de voltaje

Cálculo de la Red Snubber

Las redes snubber absorben la energía de las inductancias y capacitancias parásita


en las transiciones de conmutación, reducen las interferencias electromagnéticas
del sistema y el RINGING; es así que, limitan el estrés que el semiconductor soporta
y reducen la disipación de potencia del mismo, para lograr que este sea de menor
tamaño, peso y coste. [6] [27]
En el sistema implementado se utiliza una red snubber disipativa B& y 4& en paralelo
a los semiconductores como se muestra en la Figura 2.15.
59

Rs

Cs

Figura 2.15 Circuito de la Red Snubber

A continuación se muestra el procedimiento de cálculo de las redes snubber


implementadas, y se realiza como ejemplo para el MOSFET inferior de la segunda
etapa del sistema. En la Figura 2.16 se muestran las formas de onda del voltaje
drain-source VDS en los MOSFETs Q3 en color naranja y Q4 en color azul donde
se puede observar las oscilaciones y sobrevoltajes que pueden experimentar los
mosfets con un pico de voltaje de de 116V para Q4 y de 80V para Q3.

116V
80V

,3.23 ,3.24

Figura 2.16 Formas de onda y efecto RINGING en la segunda etapa del convertidor Buck
síncrono

El método consiste en medir la frecuencia de oscilación del circuito original (;T ) en


la forma VDS. Para el ejemplo estudiado se tiene una ;T = í­ïÚ en VDSQ4 como se
muestra en la Figura 2.17.
60

Figura 2.17 Frecuencia de oscilación del convertidor Buck síncrono para Q4

El siguiente paso es colocar un capacitor en paralelo al MOSFET Q4 con el fin de


reducir la ;T a la mitad de la magnitud original (;T †K = Këí­ïÚ). Con un valor de
4& = KëK:O la Figura 2.18muestra como la ;T ha sido reducida a la mitad de la
magnitud original, y así es que el valor de esta capacitancia es igual a tres veces la
capacitancia parásita del circuito como indica la ecuación (2.2).

4&
4T =
ß (2.2)
KëKMºú
4T = = IëHß:O
ß

Figura 2.18 Frecuencia de oscilación del convertidor Buck síncrono con Cs en paralelo
para Q4

Una vez determinada la frecuencia de oscilación y la capacitancia parásita se puede

R
determinar la inductancia parásita del circuito con la ecuación (2.3).

/T =
4T AKÇ;T )P (2.3)

Donde /T : Inductancia parásita y 4T : Capacidad parásita


61

R
/T = = RëÉñï
IëHß:OAKÇAí­ïÚ))P

Y el valor de la resistencia snubber es calculada a partir de la siguiente ecuación:

/T
B& = Ý
4T (2.4)

B& = ÉÉî

Con un ajuste experimental de B& en la Figura 2.19 se observa el resultado final de


las formas de onda VDSQ3 y VDSQ4 del convertidor con la implementación de las
redes snubber calculadas y calibradas. Las oscilaciones y sobrevoltajes han sido
mitigados y es así que el pico del sobrevoltaje de Q4 pasó de 116V a 4V y en Q3
de 80V a 42V.

,3.24 ,3.23
42V
4V

Figura 2.19 Formas de onda en la segunda etapa del convertidor Buck síncrono con Red
Snubber

El método explicado se usó para el cálculo de las redes snubber necesarias en el


sistema y los valores implementados se muestran en la Tabla 2.7.

Tabla 2.7 Redes Snubber implementadas en el Sistema

Primera Etapa Segunda Etapa


Rs (ῼ) Cs (nF) Rs (ῼ) Cs (nF)
MOSFET Superior 47 1.5 15 1
MOSFET Inferior 100 2.2 100 2.2
62

2.2 RESPUESTA EN FRECUENCIA DE LOS CONVERTIDORES DE


POTENCIA

Una vez que se ha seleccionado los elementos de los convertidores se puede


obtener la respuesta del sistema en lazo abierto. Se obtiene el diagrama de bode
del modelo matemático y del modelo promediado del convertidor, utilizando los
softwares de simulación MATLAB® y PSIM® respectivamente, para cada etapa del
sistema. De esta manera se valida el modelo matemático para posteriormente
realizar el diseño de los controladores. El controlador se añade con el objetivo de
mejorar las características de funcionamiento del sistema, a partir del modelo
matemático de la planta. De esta manera se pueden aplicar diversas técnicas de
diseño y determinar los parámetros del controlador que cumpla las especificaciones
para mejorar las características de régimen transitorio y del estado estacionario del
sistema en lazo cerrado. [4]

2.2.1 PRIMERA ETAPA

El diagrama de bode para la primera etapa se obtiene con el circuito de la Figura


2.20 mediante simulación en PSIM y en MATLAB con la función de transferencia
que relaciona el voltaje de salida y el ciclo de trabajo de la primera etapa dada por
la ecuación (1.91) con los datos de la Tabla 2.8.

Figura 2.20 Modelo promediado de PSIM de la primera etapa del sistema


63

Tabla 2.8 Valores de los elementos de la segunda etapa

,>$
Magnitud Valor Unidad
170 V

/+>³ 11.5 mH

4+>³ 24.8 nF

OD 45 KHz

­D¾¿ 0.41

,# 70 V

4 4400 µF

En la Figura 2.21 se muestra el diagrama de bode validado para esta etapa. Los
datos obtenidos en simulación con PSIM se grafican con puntos rojos sobre la
gráfica obtenida en color azul en MATLAB con la función de transferencia. El
diagrama de bode se dibuja para una B = ìíî y un ! = Iëì cuya función de

RIìëÉ
transferencia es:

-aV?WTW A6) =
IëINH6 E R (2.5)

Figura 2.21 Diagrama de Bode primera etapa del sistema B = ìíî


64

2.2.2 SEGUNDA ETAPA

La función de transferencia que relaciona el voltaje de salida y el ciclo de trabajo de


la segunda etapa está dada en la ecuación (1.51), el diagrama de bode se obtiene
mediante MATLAB con los datos de la Tabla 2.9 y mediante simulación en PSIM
con el circuito de la Figura 2.22.

Tabla 2.9 Valores de los elementos de la segunda etapa

,>$
Magnitud Valor Unidad
70 V

/ 4 mH

B( 2 ῼ

4 680 µF

Bc 0.1 ῼ

BCD#$ 170 mῼ

OD 30 KHz

Figura 2.22 Modelo promediado de PSIM de la segunda etapa del sistema

La Figura 2.23 muestra el diagrama de bode validado para esta etapa. Los datos
obtenidos en simulación con PSIM se grafican con puntos rojos sobre la gráfica
65

obtenida en color azul en MATLAB con la función de transferencia. El diagrama de


bode se dibuja para un ,# = ßI, y š# = ìIà cuya función de transferencia es:

IëIN6 E RRðN
-PV?WTW A6) =
ÉëR\RI‚û 6 PE IëIKHß6 E RHëRH
(2.6)

Figura 2.23 Diagrama de Bode segunda etapa del sistema ,# = ßI, y š# = ìIÃ

La Figura 2.24 muestra el diagrama de bode validado para esta etapa. Los datos
obtenidos en simulación con PSIM se grafican con puntos rojos sobre la gráfica
obtenida en color azul en MATLAB con la función de transferencia. El diagrama de
bode se dibuja para para un ,# = ßI, y š# = íà cuya función de transferencia es:

IëNìÉK6 E RKHRI
-PV?WTW A6) =
Éëð\RI‚ó 6 P E IëKKN6 E RNKëK
(2.7)
66

Figura 2.24 Diagrama de Bode segunda etapa del sistema ,# = ßI, y š# = íÃ

La Figura 2.25 muestra el diagrama de bode validado para esta etapa. Los datos
obtenidos en simulación con PSIM se grafican con puntos rojos sobre la gráfica
obtenida en color azul en MATLAB con la función de transferencia. El diagrama de
bode se dibuja para un ,# = í, y š# = íà cuya función de transferencia es:

IëIßÉ6 E íRKëR
-PV?WTW A6) =
RëßNH\RI‚û 6 P E IëIRRN6 E HëRH
(2.8)

Figura 2.25 Diagrama de Bode segunda etapa del sistema ,# = í, y š# = íÃ


67

2.3 ESTRATEGIA DE CONTROL

Para el diseño del lazo de realimentación de voltaje se toma en cuenta los


requerimientos de cada etapa del sistema:

· PRIMERA ETAPA AC/DC (CFP): Esta etapa tiene propiedades inherentes


de CFP, es así que se requiere un controlador que mantenga un voltaje de
salida constante de 70V y controlar el ángulo de operación del conversor y
por consiguiente la distorsión de la corriente de entrada. La relación de
trabajo se limita con un 70% para no sobrepasar el estrés de voltaje que
pueden soportar los elementos semiconductores de esta etapa, como ya se
analizó en la Figura 2.2.
· SEGUNDA ETAPA DC/DC: Se requiere un controlador que asegure el
voltaje deseado a la salida en el rango de 5 a 30V. En este convertidor la
relación de trabajo se limita entre 5 y 80% por la operación y funcionamiento
del circuito de BOOTSTRAP implementado para el disparo de los MOSFETs
de esta etapa.

2.3.1 MODULACIÓN PWM

La modulación PWM varía ! para obtener un voltaje constante a la salida. Sin


embargo la implementación digital PWM que se muestra en la Figura 2.26, causa
retardos y reduce de manera significante el margen de fase del sistema. [28]

TS

VGS

ckp

Interrupción del
Timer

Figura 2.26 Actualización de ! para un modulador digital PWM.


68

La modelación del modulador PWM tiene dos partes que son: la ganancia estática
del modulador y la aproximación Padé de primer orden de su retardo, [28] así su

%&
función de transferencia es:

R R76 É
-üý¶ A6) = ä å
§TU R E 6 %&
É
(2.9)

En el presente proyecto para sistema implementado el valor §TU = R.

2.4 DISEÑO DE LOS CONTROLADORES

Se utiliza un control PI para cada etapa del sistema, cuya función de transferencia

['
se muestra a continuación:

ªA6) = b[Ë E d `A6)


6
(2.10)


Donde:

[' =
%'
(2.11)

El diagrama de bloques del sistema en lazo cerrado se muestra en la Figura 2.27.


El sistema de control emplea una realimentación negativa que calcula el error entre
el valor de referencia (setpoint) y, el valor medido (valor realimentado) escalado con
la ganancia del sensor. El error es tratado para realizar la acción de control que va
actuar sobre el ciclo de trabajo, con el propósito de mantener un voltaje constante
a la salida, independientemente de las perturbaciones que puedan presentarse
sobre el sistema como son: el voltaje a la entrada o la corriente de carga, y de esta
manera garantizar la estabilidad y precisión del sistema. Un elemento saturador es
colocado con el fin de que la amplitud del modulador de ancho de pulso no supere
cierto límite superior y/o inferior. En el sistema se ha considerado el efecto del
modulador PWM digital.
69

Conversor DC/DC
Voltaje de entrada
Señal de

Señal de Señal de
Corriente de salida { Perturbaciones salida

referencia error Controlador Modulador de (Planta)


+_
PI ancho de pulso Sistema
Saturador

Señal medida Retroalimentación


Ganancia del sensor

(a)

Saturador

+_

(b)

Figura 2.27 (a) Esquema del sistema de control en lazo cerrado (b) Diagrama de Bloques
del sistema en lazo cerrado

El diseño de los controladores se realiza para el punto crítico de operación en lazo


abierto de cada etapa en función de su diagrama de bode. La función de
transferencia viene dada en función del diagrama de bloques de Figura 2.27, donde
ïA6) = R ya que la ganancia del sensor de voltaje resistivo es compensado por
software.

%
R76 &
-A6) = ä Éå- A6)
(2.12)
%& c#$âVF&#F
RE6 É

La función en pequeña señal del conversor -c#$âVF&#F A6) de la primera y segunda


etapa viene dada por las ecuaciones (2.5) y (2.7) respectivamente.

En el presente proyecto se utiliza el diseño frecuencial de reguladores, usándose


para su análisis las representaciones gráficas como el diagrama de bode. El diseño
utiliza el método D-particiones (Neimark (1992)) expuesto en [29] y el algoritmo de
control usado garantiza la estabilidad del lazo cerrado con un margen de fase y
tiempo de establecimiento deseado.
70

2.4.1 PRIMERA ETAPA

Los pasos del algoritmo de diseño del controlador se enlistan a continuación y como
ejemplo de cálculo se realiza el procedimiento de diseño para la primera etapa del
sistema:

1. Se elige el margen de fase AšÌ) y el tiempo de establecimiento A"& )


deseados.
šÌ = ìIþM_M"& = ÉIÌ6

2. Se calcula \, _ en base a las siguientes ecuaciones:

_ = ¸¹ºAšÌ) (2.13)

_ = MIëNììI

\ = ÿR 7 _ P (2.14)

\ = Iëí

En base a la ecuación (2.5) en (2.12), la función de transferencia para esta etapa


con una relación de trabajo igual a 0.7 es:

7RëIðR\RI 6 E ßëÉÉ\RIaP
-A6) =
Rëíìí6 P E KëNRH\RI! 6 E ßëKÉ\RIaS

Cuyo diagrama de Bode se muestra en la Figura 2.28, el cual muestra un šÛ =


NðëNþ con una frecuencia de corte "c = RKKI¨X@†6.
71

Figura 2.28 Diagrama de Bode del convertidor de la primera etapa en lazo abierto

3. Se calcula [A") y ['A") a partir de las siguientes ecuaciones:

R
[ = ÔeÓlM#A7\ 7 _Î)
-AÎ")
$
(2.15)

R
M[' = ¹mÓgM#A7\ 7 _Î) A7")$
-AÎ")
(2.16)

Se dibuja curva-D para que el sistema tenga un šÛ = ìIþ con ['M06M[ y se escoge
un regulador PI temporal con una frecuencia de corte "cÛT ¼ A"Û>$ J "¶ ). Dónde
"¶ se da en el valor máximo de [' así:M['Ûè€ A"¶ ) como se muestra en la Figura
2.29 y "Û>$ es el valor de frecuencia mínima con los parámetros del controlador
[,[' ô I
5
x 10 curvas-D
7

X: 58.91
6
Y: 6.465e+05

4
ki

2
f =60°

0
-10 0 10 20 30 40 50 60 70 80
k

Figura 2.29 curva-D para el convertidor de la primera etapa


72

Es así que se tiene "¶ = ìHÉIIM¨X@†6 con:M['Ûè€ = ìÉìíI

Los valores de K y Ki se escogen en un rango para diferentes frecuencias de corte,


y así se obtienen diferentes respuestas para el sistema. La curva-D con la que se
escogió los parámetros del controlador se muestra en la Figura 2.30 y la función de
transferencia que describe al controlador para esta etapa en base a la ecuación
(2.10) es:

-ü¾ A6) =
Sëa&%aS
&

curvas-D
120
f =60°

100

80

60
ki

40

20 X: 0.1018
Y: 10.42

0
-0.05 0 0.05 0.1 0.15 0.2 0.25 0.3 0.35 0.4
k

Figura 2.30 Selección de datos del controlador PI para el convertidor de la primera etapa

4. Se mide "&ÛT del sistema con el controlador temporal diseñado


5. Se calcula la frecuencia "c asegurando el tiempo de establecimiento
deseado:

"&ÛT
"c M = "cÛT
"&
(2.17)

6. Y finalmente se recalculan los datos para obtener los parámetros del


regulador PI

La Figura 2.31 muestra la respuesta del sistema ante una entrada paso y se verifica
el cumplimiento del tiempo de establecimiento señalado al principio del diseño.
73

Respuesta Paso
1.4

1.2
System: untitled1
Settling time (seconds): 0.0417
1

0.8

Amplitud
0.6

0.4

0.2

0
0 0.01 0.02 0.03 0.04 0.05 0.06
tiempo (seconds)

Figura 2.31 Respuesta ante una entrada paso del convertidor de la primera etapa en lazo
cerrado

La Figura 2.32 muestra el diagrama de bode en lazo abierto de la primera etapa


con el controlador PI diseñado, donde se verifica que se da cumplimento al margen
de fase establecido al principio del diseño.

Figura 2.32 Diagrama de Bode del convertidor de la primera etapa con el controlador en
lazo abierto
74

2.4.2 SEGUNDA ETAPA

En base a la (2.7) en (2.12) la función de transferencia para esta etapa para una
relación de trabajo igual a 0.43 es:

7RëIßH\RIû 6 P M E MRëIðK\RIaS M6M E MRëNßZRÉ


-A6) =
íNëHN6 · E Hë INN\RI& 6 P E Éë INß\RI! 6 E KëìKß\RIaP

Cuyo diagrama de Bode se muestra en la Figura 2.33, el cual muestra un šÛ = KRþ


con una frecuencia de corte "c = íKHI¨X@†6.

Figura 2.33 Diagrama de Bode del convertidor de la segunda etapa en lazo abierto

Se sigue los pasos para el diseño del controlador PI explicado en el apartado


anterior.

El diseño se realiza de tal forma que el sistema tenga un margen de fase šÌ = ìI


y un tiempo de establecimiento "& = KKÌ6 , y la curva-D con los valores del
controlador escogido se muestra en la Figura 2.34

La función de transferencia que describe al controlador para esta etapa en base a


la ecuación (2.10) es:

IëIIÉ6 E ÉëHR
-ü¾ A6) =
6
75

curvas-D
20
f =60°

15

10

X: 0.003921
Y: 4.715
ki 5

-5

-10
-0.01 -0.005 0 0.005 0.01 0.015 0.02 0.025 0.03 0.035 0.04
k

Figura 2.34 curva-D para el convertidor de la segunda etapa

En la Figura 2.35 se muestra la respuesta del sistema en lazo cerrado ante una
entrada paso con el regulador calculado, y en la Figura 2.36 se muestra su
diagrama de bode con el controlador en lazo abierto. Se verifica que el sistema
cumple con los requerimiento margen de fase šÌ = ìI y un tiempo de
establecimiento "& = KKÌ6 establecidos al principio del diseño.

Figura 2.35 Respuesta ante una entrada paso del convertidor de la segunda etapa en
lazo cerrado
76

Figura 2.36 Diagrama de Bode del convertidor de la segunda etapa con el controlador en
lazo abierto

En Tabla 2.10 la se muestran las constantes [ËJ [' y %' del controlador PI del
convertidor de la primera y segunda etapa, %' es calculado a partir de la ecuación
(2.11).

Tabla 2.10 Valores de las constantes de los controladores PI diseñados y calibrados

Constantes PI Primera Etapa Segunda Etapa


Diseñados Calibrados Diseñados Calibrados
[Ë 0.1 0.007 0.004 0.004
[' 10 10 4.71 33.33
%' 0.1 0.1 0.1 0.03
77

CAPÍTULO 3
3 SIMULACIÓN, CONSTRUCCIÓN E IMPLEMENTACIÓN
DEL SISTEMA COMPLETO
En este capítulo se presenta la simulación del sistema completo en lazo cerrado,
con la estrategia de control diseñada. Además, se muestran los resultados
obtenidos de la simulación del sistema completo. La simulación se realiza en el
programa computacional PSIM®. También se presentan las gráficas de las
variables de interés. Finalmente, se explica la implementación del proyecto,
exponiendo el software y hardware utilizados.

3.1 SIMULACIÓN DE LA FUENTE CON CFP

En esta sección se presenta la simulación del sistema completo en lazo cerrado de


la Figura 3.1, implementando los controladores diseñados y calibrados.

Figura 3.1 Sistema Completo Fuente con CPF


78

3.1.1 CORRIENTE DE SUMINISTRO

Se presentan las curvas obtenidas de corriente de entrada y factor de potencia para


diferentes condiciones de carga y voltaje de salida.

A continuación se presentan las gráficas para un voltaje de salida igual a 30V. La


Figura 3.2 muestra las formas de onda de la corriente de entrada al variar la carga
de 180 a 15 ohm para obtener un potencia de 5 a 60 W, respectivamente.

Figura 3.2 Corriente de entrada del convertidor para ,S = ßI,

En la Figura 3.3 se grafica el factor de potencia obtenido en la simulación en función


de la potencia de salida para las condiciones anteriores analizadas. El factor de
potencia varía en un rango de valores entre 0.944 y 0.967.

FP
0,98
Factor de Potencia

0,96
0,94
0,92 FP
0,9
0 20 40 60
Potencia de Salida (W)

Figura 3.3 Factor de potencia vs Potencia de Salida para ,S = ßI,


79

A continuación se presentan las gráficas para un voltaje de salida igual a 20V. La


potencia de salida máxima para esta condición de voltaje de salida es 40W, ya que
como se especificó anteriormente la corriente máxima que puede suministrar la
fuente es 2A. La Figura 3.4 muestra las formas de onda de la corriente de entrada
al variar la carga de 80 a 10 ohm para obtener un potencia de 5 a 40 W,
respectivamente.

Figura 3.4 Corriente de entrada del convertidor para ,S = KI,

En la Figura 3.5 se grafica el factor de potencia obtenido en la simulación en función


de la potencia de salida para las condiciones anteriores analizadas. El factor de
potencia varía en un rango de valores entre 0.92 y 0.96.

FP
0,98
Factor de Potencia

0,96
0,94
0,92 FP

0,9
4 14 24 34
Potencia de Salida (W)

Figura 3.5 Factor de potencia vs Potencia de Salida para ,S = KI,


80

A continuación se presentan las gráficas para un voltaje de salida igual a 10V. La


potencia de salida máxima para esta condición de voltaje de salida es 20W, ya que
como se especificó anteriormente la corriente máxima que puede suministrar la
fuente es 2A. La Figura 3.6 muestra las formas de onda de la corriente de entrada
al variar la carga de 20 a 5 ohm para obtener un potencia de 5 a 20 W,
respectivamente.

Figura 3.6 Corriente de entrada del convertidor para ,S = RI,

En la Figura 3.7 se grafica el factor de potencia obtenido en la simulación en función


de la potencia de salida para las condiciones anteriores analizadas. El factor de
potencia varía en un rango de valores entre 0.95 y 0.97.

FP
0,98
Factor de Potencia

0,96
0,94
0,92 FP
0,9
4 9 14 19
Potencia de Salida (W)

Figura 3.7 Factor de potencia vs Potencia de Salida para ,S = RI,


81

A continuación se presentan las gráficas para un voltaje de salida igual a 5V. La


potencia de salida máxima para esta condición de voltaje de salida es 10W, ya que
como se especificó anteriormente la corriente máxima que puede suministrar la
fuente es 2A. La Figura 3.8 muestra las formas de onda de la corriente de entrada
al variar la carga de 5 a 2.5 ohm para obtener un potencia de 5 a 20 W,
respectivamente.

Figura 3.8 Corriente de entrada del convertidor para ,S = í,

En la Figura 3.9 se grafica el factor de potencia obtenido en la simulación en función


de la potencia de salida para las condiciones anteriores analizadas. El factor de
potencia varía en un rango de valores entre 0.95 y 0.97.

FP
0,98
Factor de Potencia

0,96
0,94
0,92 FP
0,9
4 6 8 10 12
Potencia de Salida (W)

Figura 3.9 Factor de potencia vs Potencia de Salida para ,S = í,


82

3.1.2 DESEMPEÑO DE LOS CONTROLADORES

Se presenta el desempeño de los controladores frente a cambios de carga y voltaje


de referencia. Se puede evidenciar que los controladores diseñados de cada etapa
cumplen con el propósito y requerimientos para los cuales han sido diseñados.

La Figura 3.10 muestra el voltaje de la segunda etapa para cambios de referencia


de 30 a 15V para una carga R=15, donde se puede evidenciar que el controlador
de esta etapa iguala al voltaje de referencia en un tiempo en el orden de los
milisegundos y, en otro plano el voltaje en la primera etapa sufre cambios suaves
durante las transiciones de la segunda etapa.

Figura 3.10 Respuesta del Sistema ante cambios de referencia Voltaje de Salida B = Rí

La Figura 3.11 muestra el voltaje de la segunda etapa para cambios de referencia


de 30 a 15V para una carga de R=30ῼ, donde se puede evidenciar que el
controlador de esta etapa iguala al voltaje de referencia en un tiempo en el orden
de los milisegundos y, en otro plano el voltaje en la primera etapa sufre cambios
suaves durante las transiciones de la segunda etapa.
83

Figura 3.11 Respuesta del Sistema ante cambios de referencia Voltaje de Salida B = ßI

La Figura 3.12 muestra el voltaje de la segunda etapa para cambios de referencia


de 20 a 5V para una carga de R=10ῼ, donde se puede evidenciar que el controlador
de esta etapa iguala al voltaje de referencia en un tiempo en el orden de los
milisegundos y, en otro plano el voltaje en la primera etapa sufre cambios suaves
manteniendo el voltaje establecido en 70V durante las transiciones de la segunda
etapa.

Figura 3.12 Respuesta del Sistema ante cambios de referencia Voltaje de Salida B = RI

La Figura 3.13 muestra el voltaje de la segunda etapa y la primera etapa para


cambios de carga de R=30 a 15ῼ, donde se puede evidenciar que el controlador
84

para cada una de las etapas cumple con mantener el voltaje establecido de la
primera etapa en 70V y la segunda etapa en 30V después de un tiempo en el orden
de los milisegundos durante las transiciones de carga.

Figura 3.13 Respuesta del Sistema ante cambios de carga para ,S = ßI,

La Figura 3.14 muestra el voltaje de la segunda etapa y la primera etapa para


cambios de carga de R=10 a 5ῼ, donde se puede evidenciar que el controlador
para cada una de las etapas cumple con mantener el voltaje establecido de la
primera etapa en 70V y la segunda etapa en 5V después de un tiempo en el orden
de los milisegundos durante las transiciones de carga.

Figura 3.14 Respuesta del Sistema ante cambios de carga para ,S = í,


85

3.2 IMPLEMENTACIÓN DEL SISTEMA

3.2.1 DESARROLLO DEL SOFTWARE

Para la selección del microcontrolador se realiza un análisis en la Tabla 3.1 de los


requerimientos del sistema según la descripción de funcionamiento con las
funciones que se enlistan a continuación:

· Generar señales PWM de: 45KHz para el control del convertidor de la


primera etapa y de 30kHz para el conversor de la segunda etapa.

La frecuencia de conmutación de 45KHz de la primera etapa ha sido escogida de


tal manera de obtener una eficiencia mayor al 70% ya que el aumentar f las pérdidas
de conmutación crecen y la eficiencia del sistema se reduce, y por otro lado al
disminuir el valor de f, los capacitores e inductores de esta etapa aumentarían su
peso y por ende el costo. Es así que según lo analizado la frecuencia de 45KHz es
un valor adecuado para esta etapa. La frecuencia de conmutación de 30KHz de la
segunda etapa se escoge con los mismos criterios, tomando en cuenta que esta
etapa trabaja con el voltaje reducido por la primera etapa y el peso de los elementos
se disminuye, así es que se disminuye la frecuencia de conmutación y se aumenta
la eficiencia de esta etapa.

· Control para el encendido/apagado del sistema de potencia.


· Lectura del voltaje de salida de la primera y segunda etapa.
· Implementación de los controladores PI de cada etapa del sistema.
· Configurar el voltaje de salida de la fuente. Lectura de la referencia del
voltaje de salida.
· Visualización del voltaje de referencia en un Display.
· Indicador encendido/apagado o falla del sistema.
86

Tabla 3.1 Análisis de requerimientos de la fuente variable con CFP

Requerim Característica Justificación


iento
Velocidad
de
84 MHz Control de los MOSFETs tanto de la primera como de la
procesami
Velocidad de segunda etapa. Procesamiento de los controladores y
ento
procesamiento señales de cada etapa del sistema.
mayor a
30 MHz
Niveles de
TTL/LVTTL Voltajes de 0 a 5V ó de 0 a 3.3V
voltaje
Salidas Señales de control del convertidor de la primera y segunda
4
PWM etapa del sistema.
1 para lectura de voltaje de salida de la primera etapa
Canales
3 1 para lectura del voltaje de salida de la segunda etapa
ADC
1 para lectura de la referencia del voltaje de salida
Entradas 1 para el control del encendido/apagado del sistema de
1
Digitales potencia
1 para el control del relé para el encendido/apagado del
sistema de potencia.
Salidas 12 para la visualización del voltaje de salida configurado por
15
Digitales el usuario, mediante un barrido de 4 displays.
1 indicador encendido/apagado del sistema de potencia.
1 indicador falla del sistema de potencia

Se seleccionó el microcontrolador STM32F401RE de la empresa


STMicroelectronics para la implementación del sistema de control debido al
desempeño y los recursos que éste posee.

Microcontrolador [30]

Las principales características del microcontrolador son:

· Núcleo ARM®Cortex®-M4 con CPU de 32bits.


· Velocidad de procesamiento de hasta 84MHz.
87

· Memoria Flash de 512Kbytes.


· Memoria SRAM de 96Kbytes.
· 81 puertos de entrada y salida de 1.7 V a 3.6 V (LVTTL) con interrupciones.
· Conversor ADC de 10 a 12bits de 16 canales.
· 6 timers de 16 bits, 2 timers de 32 bits.
· Interfaces de comunicación I2C.
· Interfaces de comunicación USART.
· Interfaces de comunicación SPI.
· Conectividad avanzada: dispositivo USB2.0 de alta velocidad.

En la Figura 3.15 se muestra la distribución de pines del STM32F401RE. En la


Tabla 3.2 se detallan los pines utilizados de esta plataforma para el cumplimiento
de las funciones y requerimientos del sistema explicados en la Tabla 3.1.

Figura 3.15 Distribución de pines del STM32F401RE [30]


88

Tabla 3.2 Función de los pines del STM32F401RE

Pin Función Descripción


Salida PWM control MOSFET superior de la
PA_8
primera etapa.
Timer 1
Salida PWM control MOSFET inferior de la primera
PA_7
etapa.
Salida PWM control MOSFET superior de la
PA_0
segunda etapa.
Timer 2
Salida PWM control MOSFET inferior de la
PA_1
segunda etapa.
Pulsador para el control del relé de
PC_13 Entrada
encendido/apagado del sistema de potencia
Activado/desactivado del relé para el
PC_10 Salida
encendido/apagado del sistema de potencia
PC_6 Indicador visual ON/OFF del sistema de potencia
PC_8 Indicador visual falla del sistema de potencia
PA_10 Control display 1.
PB_3 Control display 2.
PB_5 Control display 3.
PA_12 Control display 4.
PA_11 Control display A.
Salida
PB_12 Control display B.
PB_2 Control display C.
PB_1 Control display D.
PB_15 Control display E.
PB_14 Control display F.
PB_13 Control display G.
PC_4 Control display dp.
PB_0 ADC3 Lectura sensor voltaje de la primera etapa.
PC_1 ADC4 Lectura sensor voltaje dela segunda etapa.
PC_0 ADC5 Lectura sensor voltaje del Set Point.
89

El programa principal es desarrollado en la plataforma Mbed, la cual dispone de un


compilador C/C++ online. Además se utiliza la convención “Lower Camel Case” para
desarrollo del código de programación. [31]

Implementación del Regulador PI

La implementación del controlar es digital, por lo que es necesario discretizar la


función de transferencia del regulador PI obtenida antes de su implementación. La
discretización de un controlador PI requiere un método preciso y eficiente para su
implementación y se realiza mediante la aproximación trapezoidal [32], donde:

KAÚ E R)
6=
%AÚ 7 R)
(3.1)

Reemplazando la ecuación (3.1) y (2.11) en la ecuación (2.10) se obtiene:

^A:) %AÚ E R)
-§A:) = = [Ë bR E d
ZA:) K%'AÚ 7 R)
(3.2)

Donde:

Z: Error de la respuesta del sistema

^: Variable controlada

%: Periodo de muestreo

Resolviendo la ecuación (3.2) y agrupando términos, se tiene:

%
AÚ 7 R)^A:) = 'Ë{AÚ 7 R) E AÚ E R)}ZA:)
K%'
(3.3)

Finalmente se aplica la transformada z inversa a la ecuación (3.3), por lo tanto:

% %
^A') = ^A' 7 R) E [ËAR E )ZA') E [ËA7R E )ZA' 7 R)
K%' K%'
(3.4)

La ecuación (3.4) puede ser implementada en el microcontrolador escogido debido


a las prestaciones que brinda.

La Tabla 3.3 resume los parámetros y la implementación de los controladores PI de


cada una de las etapas del sistema, según los datos determinados en la Tabla 2.10.
90

Tabla 3.3 Controladores PI implementados

Primera Etapa Segunda Etapa


±A(Ð)
RI ßßëßß
100 100

IëIIH E IëIIÉ E
)*AÐ)
6 6

AÚ E R) AÚ E R)
Ecuación (2.10)

IëIH bR E d IëIIÉ bR E d
)*A+)
Ecuación (3.2) KIIIAÚ 7 R) ìIIAÚ 7 R)

Ley de control ! ^A' 7 R) E IëIIHZA') ^A' 7 R) E IëIIÉZA')


(A,) 7 IëIHZA' 7 R) 7 IëIIÉZA' 7 R)
Ecuación (3.4)

Diagramas de Flujo

El programa principal es el encargado de la visualización del voltaje de referencia


configurado en los displays. La Figura 3.16 describe el algoritmo del programa
principal implementado.

Figura 3.16 Diagrama de flujo del programa principal

La Figura 3.17 describe el algoritmo de la subrutina del barrido de displays.


91

Figura 3.17 Subrutina barrido de displays

La Figura 3.18 describe el algoritmo de la interrupción para encender o apagar el


sistema de potencia mediante un pulsador. Además integra el indicador visual LED
para esta función.

Figura 3.18 Interrupción para encender o apagar el sistema de potencia


92

Para establecer los valores de voltaje de salida deseados en los convertidores de


la primera y la segunda etapa se implementan controladores PI digitales, a partir de
los resultados de la Tabla 3.3. El tiempo de ejecución de la subrutina es de 100 us.
La Figura 3.19 describe el algoritmo de la interrupción de los controladores PI
digitales implementados para cada etapa del sistema. Además en el algoritmo se
realiza la lectura del ADC y el filtro digital para el procesamiento de las señales
analógicas adquiridas y la carga del capacitor de salida de la primera etapa C1 para
así evitar daños en el sistema.

3.2.1.3.1 Filtro Digital [33]

El filtro digital es usado para atenuar el ruido que puede tener una señal. En el
sistema implementado se usa un filtro de media móvil que consiste en un promedio
de las 20 últimas muestras tomadas como se muestra en la ecuación (3.5).

a!
R
_ƒ:„ = = - \ƒ: 7 '„
(3.5)
KI
U¤S

Donde:

_ƒ:„: Promedio de la señal de entrada

\ƒ:„: Lectura del ADC de la señal de entrada

3.2.1.3.2 Carga del capacitor C1

La carga del capacitor de salida de la primera etapa C1 consiste en aumentar la


relación de trabajo desde deltaPrimeraEtapa=0.08 paulatinamente hasta llegar a un
valor de voltaje 45V. El algoritmo implementado se describe en la Figura 3.19.
93

Figura 3.19 Interrupción controlador PI


94

La Figura 3.20 y la Figura 3.21 muestran la subrutina para la actualización del ancho
de pulso de la primera y segunda etapa respectivamente.

Figura 3.20 Subrutina Ancho de pulso primera etapa

Figura 3.21 Subrutina Ancho de pulso segunda etapa

3.2.2 IMPLEMENTACIÓN DEL CONVERTIDOR

En el capítulo anterior en la sección 2.1.2 se trató el diseño de la etapa de potencia


y la elección de los elementos que conforman el sistema de potencia. El prototipo
construido e implementado consta de dos circuitos impresos: sistema potencia y
control para la fuente variable con CPF. El circuito de control contiene el
microcontrolador, la visualización y control del voltaje a la salida del sistema y
acondicionamiento de las señales de realimentación y el circuito de potencia posee
los elementos semiconductores y pasivos, así como también el acondicionamiento
de las señales de control de los MOSFETs. Los esqumáticos y PCB utlizados se
detallan en el Anexo B.
95

CAPITULO 4
4 PRUEBAS Y RESULTADOS

En el presente capítulo se presentan las pruebas y resultados obtenidos


experimentalmente del sistema implementado.

Se utiliza una resistencia variable como carga para de esta manera obtener a la
salida una corriente variable. El voltaje de salida es controlado mediante un
potenciómetro y el voltaje a la entrada es el voltaje de la red.

4.1 CORRECCIÓN ACTIVA DEL FACTOR DE POTENCIA

A continuación se resume y analiza el contenido armónico de la corriente de


suministro y el factor de potencia en función de la potencia de salida del sistema
para valores de voltaje de salida constantes y corriente de salida variable.

Para medir el factor de potencia del conversor y el contenido armónico de la


corriente de entrada se utilizó el analizador de armónicos “PowerSystem Analyzer
Model 330”. La potencia a la salida es calculada con la medición de voltaje y
corriente. El método utilizado para obtener las gráficas de factor de potencia y límite
de contenido armónico se detallan en la sección 4.1.1 y se utiliza el mismo
procedimiento para obtener las gráficas para diferentes valores de voltaje a la
salida.

4.1.1 VOLTAJE DE SALIDA 30 V

Para un voltaje de salida de 30 V se puede obtener todo el rango de potencias


especificadas de 5 a 60 W. El factor de potencia se obtiene con las datos del equipo
utilizado para su medición, y para estas condiciones en la Figura 4.1 se grafica el
FP como función de la potencia de salida calculada a partir del voltaje de salida y
el valor de la resistencia de carga. Se puede observar que se obtiene un factor de
potencia que esta entre valores 0.948 y 0.89. Además, para estas condiciones de
carga y voltaje se tomó con el analizador de armónicos el valor porcentual de cada
armónico (3ro, 5to, 7mo, 9no y 11vo), y el valor de la corriente fundamental así
como también la potencia de entrada del sistema. El contenido armónico es
96

analizado en la Figura 4.2 y es comparado con el los límites permisibles de IEC


1000-3-2 clase D. El valor porcentual de referencia se obtiene a partir de la Tabla
1.1 con el valor de la corriente fundamental y la potencia de entrada del sistema
medido. Los límites están por debajo de los límites permisible a excepción del 11vo
armónico que para potencias superiores a 44W sobrepasa el límite en 1%.

0,96
0,95
Factor de Potencia

0,94
0,93
0,92
0,91 Vo=30 V
0,9
0,89
0,88
0 10 20 30 40 50 60
Potencia de Salida (W)

Figura 4.1 Curva del FP en función de la Potencia de Salida del Sistema para Vo=30(V)

100%

80%

60%

40%

20%

0%
1er 3er 5to 7mo 9no 11vo
7,9 W 10W 15,2W 19,9W

25W 29,9W 34,2W 39,8W

44,4W 51,3W 58,4W IEC 1000-3-2 clase D

Figura 4.2 Contenido Armónico de la corriente de suministro del Sistema para Vo=30(V)
comparado con los límites de IEC 1000-3-2 clase D

4.1.2 VOLTAJE DE SALIDA 25 V

Para un voltaje de salida del sistema de 25 V se puede obtener el rango de


potencias de 5 a 50 W, ya que como se especificó anteriormente la corriente
máxima que puede suministrar la fuente es 2A. Para estas condiciones en la Figura
97

4.3 se muestra el FP como función de la potencia de salida, donde se puede


observar que se obtiene un factor de potencia que esta entre valores de 0.946 y
0.903. Además, para estos datos el contenido armónico analizado en la Figura 4.4
está muy por debajo de los límites permisibles de IEC 1000-3-2 clase D a excepción
del 11vo armónico que para potencias superiores a 44W sobrepasa el límite en
0.7%.

0,95
Factor de Potencia

0,94

0,93

0,92
Vo=25 V
0,91

0,9
0 10 20 30 40 50
Potencia de Salida (W)

Figura 4.3 Curva del FP en función de la Potencia de Salida del Sistema para Vo=25(V)

100%
90%
80%
70%
60%
50%
40%
30%
20%
10%
0%
1er 3er 5to 7mo 9no 11vo
5,6W 10,2W 15,2W 20W 25W 29,8W 34,7W 39,3W 44,6W 49W IEC 1000-3-2 clase D

Figura 4.4 Contenido Armónico de la corriente de suministro del Sistema para Vo=25(V)
comparado con los límites de IEC 1000-3-2 clase D
98

4.1.3 VOLTAJE DE SALIDA 20 V

Para un voltaje de salida del sistema de 20 V se puede obtener el rango de


potencias de 5 a 40 W, ya que como se especificó anteriormente la corriente
máxima que puede suministrar la fuente es 2A. Para estas condiciones en la Figura
4.5 se muestra el FP como función de la potencia de salida, donde se puede
observar que se obtiene un factor de potencia que esta entre valores de 0.938 y
0.91. Además, para estos datos el contenido armónico analizado en la Figura 4.6
está muy por debajo de los límites permisibles de IEC 1000-3-2 clase D.

0,95
0,945
Factor de Potencia

0,94
0,935
0,93
Vo=20 V
0,925
0,92
0,915
0 5 10 15 20 25 30 35 40
Potencia de Salida (W)

Figura 4.5 Curva del FP en función de la Potencia de Salida del Sistema para Vo=20(V)

100%
90%
80%
70%
60%
50%
40%
30%
20%
10%
0%
1er 3er 5to 7mo 9no 11vo

5,1W 10,2W 15,2W 20W 24,6W 29,5W 33W 37,2W IEC 1000-3-2 clase D

Figura 4.6 Contenido Armónico de la corriente de suministro del Sistema para Vo=20(V)
comparado con los límites de IEC 1000-3-2 clase D
99

4.1.4 VOLTAJE DE SALIDA 15 V

Para un voltaje de salida del sistema de 15 V se puede obtener el rango de


potencias de 5 a 30 W, ya que como se especificó anteriormente la corriente
máxima que puede suministrar la fuente es 2A. Para estas condiciones en la Figura
4.7 se muestra el FP como función de la potencia de salida, donde se puede
observar que se obtiene un factor de potencia que esta entre valores de 0.94 y
0.921. Además, para estos datos el contenido armónico analizado en la Figura 4.8
está muy por debajo de los límites permisibles de IEC 1000-3-2 clase D.

0,945

0,94
Factor de Potencia

0,935

0,93
Vo=15 V

0,925

0,92
0 5 10 15 20 25 30
Potencia de Salida (W)

Figura 4.7 Curva del FP en función de la Potencia de Salida del Sistema para Vo=15(V)

100%
90%
80%
70%
60%
50%
40%
30%
20%
10%
0%
1er 3er 5to 7mo 9no 11vo

5W 10W 15W 20W 23W 27,5W IEC 1000-3-2 clase D

Figura 4.8 Contenido Armónico de la corriente de suministro del Sistema para Vo=15(V)
comparado con los límites de IEC 1000-3-2 clase D
100

4.1.5 VOLTAJE DE SALIDA 10 V

Para un voltaje de salida del sistema de 10 V se puede obtener el rango de


potencias de 5 a 20 W, ya que como se especificó anteriormente la corriente
máxima que puede suministrar la fuente es 2A. Para estas condiciones en la Figura
4.9 se muestra el FP como función de la potencia de salida, donde se puede
observar que se obtiene un factor de potencia que esta entre valores de 0.935 a
0.921. Además, para estos datos el contenido armónico analizado en la Figura 4.10
está muy por debajo de los límites permisibles de IEC 1000-3-2 clase D.

0,94
Factor de Potencia

0,935

0,93

0,925 Vo=10 V

0,92
0 5 10 15 20
Potencia de Salida (W)

Figura 4.9 Curva del FP en función de la Potencia de Salida del Sistema para Vo=10(V)

100%
90%
80%
70%
60%
50%
40%
30%
20%
10%
0%
1er 3er 5to 7mo 9no 11vo

5W 9,8W 16,8W IEC 1000-3-2 clase D

Figura 4.10 Contenido Armónico de la corriente de suministro del Sistema para Vo=10(V)
comparado con los límites de IEC 1000-3-2 clase D
101

4.1.6 VOLTAJE DE SALIDA 5 V

Para un voltaje de salida del sistema de 5 V se puede obtener el rango de potencias


de 5 a 10 W, ya que como se especificó anteriormente la corriente máxima que
puede suministrar la fuente es 2A. Para estas condiciones en la Figura 4.11 se
muestra el FP como función de la potencia de salida, donde se puede observar que
se obtiene un factor de potencia que esta entre valores de 0.924 y 0.921. Además,
para estos datos el contenido armónico analizado en la Figura 4.12 está muy por
debajo de los límites permisibles de IEC 1000-3-2 clase D.

0,925
Factor de Potencia

0,924
0,923
0,922
0,921 Vo=5 V
0,92
3 5 7 9 11
Potencia de Salida (W)

Figura 4.11 Curva del FP en función de la Potencia de Salida del Sistema para Vo=5(V)

100%
90%
80%
70%
60%
50%
40%
30%
20%
10%
0%
1er 3er 5to 7mo 9no 11vo

4,3W 6,4W IEC 1000-3-2 clase D

Figura 4.12 Contenido Armónico de la corriente de suministro del Sistema para Vo=5(V)
comparado con los límites de IEC 1000-3-2 clase D
102

4.2 CONTROLADORES DE VOLTAJE

A continuación en la Figura 4.13, Figura 4.14 se muestra las gráficas del voltaje de
salida en cada una de las etapas del sistema para cambios de voltaje de referencia.
Se evalúa el desempeño de los controladores implementados de cada una de las
etapas y se puede observar que el voltaje de la primera etapa se mantiene en el
valor constante de 70V.

Figura 4.13 Voltaje de Salida de la Segunda etapa del Sistema Vo=30 a 20V

Figura 4.14 Voltaje de Salida de la Segunda etapa del Sistema Vo=20 a 10V

En Figura 4.15 y Figura 4.16 se presenta las gráficas del sistema completo ante
variaciones de carga y se evalúa el desempeño de los controladores
implementados.
103

Como se puede observar pese a cambios bruscos de carga, el voltaje de salida del
convertidor se logra mantener con un tiempo de establecimiento entre 500ms y
480ms en el valor de referencia establecido.

Figura 4.15 Voltaje de Salida de la primera y segunda etapa del Sistema Vo=20V

Figura 4.16 Voltaje de Salida de la Segunda etapa del Sistema Vo=30V

De esta manera se comprueba el correcto funcionamiento de los controladores


diseñados e implementados en cada una de las etapas del Sistema.

4.3 USO DE DIODO RÁPIDO

El diodo rápido posee mejores características de velocidad de conmutación y caída


de voltaje de conducción que el diodo parásito del MOSFET síncrono. La Figura
4.17 se analiza el efecto sobre la eficiencia del convertidor Buck síncrono de la
104

primera etapa sin diodo rápido y con diodo rápido en paralelo al MOSFET síncrono
Q1inf.

75,0%

70,0%
Eficiencia (%)
65,0%

Con diodo
60,0%
Sin diodo

55,0%

50,0%
0,1 0,3 0,5 0,7 0,9
Corriente de salida (A)

Figura 4.17 Comparación de la eficiencia con y sin diodo en paralelo al MOSFET


síncrono.

Los resultados demuestran que el uso de un diodo rápido en paralelo al MOSFET


síncrono mejora el desempeño y logra un aumento de la eficiencia del convertidor
principalmente a bajas corrientes de salida, esto es gracias a que el voltaje de
recuperación inversa y las pérdidas por conducción durante los tiempos muertos
son menores en comparación con el diodo parásito del MOSFET.

4.4 COSTOS DEL PROYECTO

A continuación se detallan los costos del proyecto. En la Tabla 4.1 se muestra el


costo de la elaboración del prototipo considerando los costos de los elementos y
materiales utilizados, además del costo de ingeniería que se describe en la Tabla
4.2.

Tabla 4.1 Costos de elementos y materiales utilizados

Precio Unitario Precio Total


Cantidad Ítem ($) ($)

1 Microcontrolador STM32F401RE 30 30
3 Portafusibles 0.5 1.5
105

3 Fusibles 0.1 0.3


4 Opto-Acoplador NAND 6n137 1.25 5
4 Driver para disparo IR2110 2.1 8.4
6 Diodo Ultra Rapido HFA25TB60PBF 1.2 7.2
6 Diodo UF4007 0.15 0.9
5 Resistencias de Potencia 0.5 2.5
2 Mosfets FQP19N20C 3.2 6.4
3 Mosfets STW15NK90Z 4 12
1 Disipadores de Calor 10 10
1 Relay de 5VDC 0.8 0.8
2 Regulador de Voltaje LM7812 0.8 1.6
2 Regulador de Voltaje LM7805 0.8 1.6
1 Módulo reductor de voltaje DC-DC LM2596 6 6
2 Capacitores 2200uF, 160V 6 12
9 Capacitores cerámicos 2KV 1.8 16.2
10 Capacitores Electroliticos varios valores 0.4 4
10 Capacitores ceramicos varios valores 0.1 1
18 Resistencias varios valores 1/4 w 0.02 0.36
2 Terminales Molex 5 pines 0.55 1.1
1 Inductor 11,5 mH 20 20
1 Inductor 4mH 3.2 3.2
1 Inductor 0,7 mH 2.8 2.8
1 Inductor 1uH 0.1 0.1
3 Transformadores 2A 10 30
3 Zócalos para MOSFETs 0.6 1.8
6 Terminales tipo Banana para caja 0.45 2.7
9 Terminales Molex 3 Pines 0.45 4.05
1 Display 4 4
2 Leds 0.15 0.3
20 Tornillos varios 0.07 1.4
2 Cable 18 AWG 0.2 0.4
6 Cable 14 AWG 0.35 2.1
1 Canaleta 0.8 0.8
1 Placas Electronicas 200 200
1 Caja Metalica 42 42
1 Construción, Etiquetado y Maquillado 40 40
tablero
COSTO TOTAL DE ELEMENTOS 484.51

El proyecto se realizó durante 12 meses, 4 horas diarios una sola persona.


106

Tabla 4.2 Costos de Ingeniería

Costo/h Costo
Horas Actividad ($) Total ($)
1440 Diseño e implementación de una fuente variable regulada 10 14400
de voltaje dc de 60w con corrección activa del factor de
potencia basada en convertidores tipo Buck Síncronos
(Diseño + Tiempo/hombre)

Al sumar el costo de los elementos y materiales usados más el costo de ingeniería


se obtiene el costo total de proyecto el mismo que es de USD 14884.51.

Como resultado final se tiene un prototipo de una fuente variable regulada de voltaje
DC alimentada desde la red con especificaciones de voltaje de 5 a 30V, corriente
máxima de 2A en un rango de potencia de 5 a 60W. Además que el sistema
implementa corrección activa del factor de potencia y cumple con el límite del
contenido armónico de la corriente de entrada que impone el estándar de regulación
internacional IEC 61000-3-2, además de tener un buen factor de potencia en el
rango de potencias especificado. La Figura 4.18 muestra el resultado final de la
fuente variable de voltaje regulado con CFP implementada.

Figura 4.18 Fuente variable de voltaje regulado con CFP


107

CAPÍTULO 5
5 CONCLUSIONES Y RECOMENDACIONES
Finalmente, en este capítulo se presentan las conclusiones y recomendaciones
obtenidas al finalizar el presente proyecto de titulación.

5.1 CONCLUSIONES

· Se diseñó e implementó una fuente regulada de voltaje DC, alimentada desde


la red eléctrica con corrección activa del factor de potencia basada en
convertidores tipo Buck síncronos. La fuente variable de bajo voltaje
implementada en este trabajo de titulación alcanzó un buen factor de potencia
para los voltajes de salida y potencias especificadas.

· A partir del estudio y análisis de topologías y algoritmos de control de


convertidores DC/DC tipo Buck orientados a la corrección activa del factor de
potencia, se seleccionó una configuración Buck síncrona con filtro LC a la
entrada para Corrección del Factor de Potencia (CFP), esta configuración se
caracteriza por ofrecer simplicidad de diseño, eficiencia y calidad de energía.

· El sistema posee dos etapas, la primera etapa encargada de la CFP y la


segunda etapa de la regulación del voltaje a la salida, alcanzando
especificaciones técnicas de diseño de: voltaje DC de 5 a 30 [V], corriente
máxima de 2 [A] en un rango de potencias de 5 a 60 [W], con un factor de
potencia mayor a 0.9 en todo el rango de operación. Estas especificaciones
fueron comprobadas experimentalmente.

· El diseño y dimensionamiento del sistema se realizó en base a notas de


aplicación de fabricantes, tomando en cuenta la influencia y como afectaban los
elementos parásitos del circuito en el funcionamiento del mismo, es así que se
pudo disminuir sus efectos y garantizar el correcto desempeño del sistema.

· Se obtuvo el modelo del convertidor DC/DC Buck síncrono en pequeña señal


para la regulación del voltaje a la salida. El modelo en pequeña señal tanto de
108

la primera y segunda etapa del sistema son validados mediante el programa


computacional PSIM. En el sistema se consideró el efecto del modulador PWM
digital para el diseño en el dominio de la frecuencia de controladores PI. La
estabilidad, desempeño y robustez de los controladores PI implementados fue
examinada bajo diferentes condiciones de voltaje de salida y carga. Los
controladores PI implementados trabajan con un tiempo de establecimiento en
el orden de los milisegundos.

· Se presentaron los resultados obtenidos en simulación y se comparan con los


datos experimentales. El factor de potencia y voltaje a la salida obtenidos en las
pruebas experimentales no difieren en gran medida a los valores obtenidos de
la simulación y el análisis teórico. Esta desviación de valores teóricos y
experimentales se debe a pérdidas de potencia no consideradas en la
simulación por utilizarse elementos ideales; por lo que podemos concluir que el
análisis teórico utilizando en la simulación es una aproximación valedera para
el sistema implementado.

· Para la fuente de voltaje implementada, el contenido armónico de la corriente


de línea no supera los límites del estándar internacional IEC 1000-3-2 clase D.
Además se obtuvieron valores de factor de potencia entre 0.9 y 0.948, para el
rango de potencias especificado.

· Se comprobó que la eficiencia del sistema es mejorada al colocar un diodo de


mejores características de velocidad de conmutación que el diodo en paralelo
del MOSFET síncrono de la primera etapa. A pesar de que incluir elementos
adicionales puede suponer un mayor coste, tamaño y peso; el análisis de la
eficiencia del sistema demostró que es posible disminuir las pérdidas de
potencia, sobre todo para bajas potencias.

· Los resultados obtenidos en este estudio técnico cumplen con los objetivos
establecidos; sin embargo la eficiencia y calidad de energía puede ser todavía
mejorados, lo que impulsará el desarrollo de futuros trabajos sobre
convertidores de energía en aplicaciones de fuentes reguladas de bajo voltaje.
109

5.2 RECOMENDACIONES

· Es importante investigar mejoras de hardware y software para convertidores


Buck síncronos, con la finalidad de incrementar la eficiencia del sistema y
disminuir el tamaño de los componentes.

· Un limitante importante en el proyecto es el voltaje de estrés de los MOSFET de


la primera etapa. Una conmutación a frecuencia variable puede disminuir el
voltaje de estrés que sufren los elementos semiconductores y mantenerlo en
bajos valores. Además, el control por frecuencia permitiría combinar las dos
etapas de CFP y regulación de voltaje en una sola.

· Es fundamental no sobrepasar los límites de voltaje máximo soportado por el


MOSFET. Esto se puede lograr reduciendo el sobrevoltaje y el ringing que se
originan en el circuito, utilizando componentes adicionales que permitan eliminar
los problemas precautelando siempre la eficiencia del sistema. Un diseño de red
snubber no disipativa ayudaría a eliminar estos problemas manteniendo la
mayor eficiencia posible en el convertidor.

· El diseño del circuito impreso (PCB) se ve afectado debido a interferencias


electromagnéticas. El correcto dimensionamiento y la distribución apropiada de
los elementos que conforman el sistema pueden ayudar a mitigar estos
problemas de interferencia y disminuir el efecto de las inductancias parásitas en
el circuito. Se recomienda tomar en cuenta los siguientes aspectos:
ü Desacoplamiento entre la etapa de control y potencia
ü Selección de buenos conectores, conductores, además de su ubicación
ü Realizar caminos directos de las pistas en el diseño impreso entre los
elementos semiconductores y los circuitos de disparo.
ü Realizar el circuito de control de encendido de los MOSFETs lo más
compacto posible.
110

6 REFERENCIAS BIBLIOGRÁFICAS

[1] S. G. Pantaleo Martín, «Conceptos sobre Fuentes Conmutadas,» de


Cuadernillo Electrónica de Potencia, Universidad Nacional de La Plata, Marzo
de 2007.

[2] A. P. Alámos, «Análisis de pérdidas del convertidor buck síncrono para


aplicaciones móviles,» Tesis de Maestría, Centro Nacional de Investigación y
Desarrollo Tecnológico., 2007.

[3] J. Toledo, «Convertidor CD-CD para Aplicaciones de Baja Tensión y Baja


Potencia: Optimización de la Eficiencia,» Tesis de Maestría, Centro Nacional
de Investigación y Desarrollo Tecnológico, 2008.

[4] S. Kasat, "Analysis, design and modeling of DC-DC converter using Simulink,"
PhD. Dissertation, Oklahoma State University, Oklahoma, Diciembre 2004.

[5] T. Instrum, "Ringing reduction techniques for NexFET high performance


MOSFETs," Application Rep. SLPA010, Dallas, TX, USA.

[6] Taylor, R., & Manack, R., «Controlling switch-node ringing in synchronous
buck converters,» Analog Applications, 2012.

[7] P. Valarezo y N. Pillasagua, Diseño de una Fuente de Alimentación de dos


etapas: AC – DC con Corrección de Factor de Potencia y DC – DC con un
Convertidor de Puente Completo (Full Bridge Converter) e Implementación de
su etapa AC – DC, Guayaquil: Escuela Superior Politécnica del Litoral, 2015.

[8] 06/07, Universitat de València Curso, «Efectos de los Rectificadores sobre la


red de alimentación,» [En línea]. Available:
http://www.uv.es/emaset/iep00/temas/IEP5-0607.pdf.

[9] California Instruments, «AC Sources for IEC 1000 Harmonics and Flicker
Testing,» APPLICATION NOTE #101.
111

[10] Yang, J., Zhang, J., Wu, X., Qian, Z., & Xu, M., «Performance comparison
between buck and boost CRM PFC converter,» 2010, June.

[11] Wu, X., Yang, J., Zhang, J., & Xu, M., «Design considerations of soft-switched
buck PFC converter with constant on-time (COT) control,» IEEE Transactions
on Power Electronics, 2011.

[12] Zeng, H., & Zhang, J., «An improved control scheme for buck PFC converter
for high efficiency adapter application,» In Energy Conversion Congress and
Exposition (ECCE), pp. pp. 4569-4576, 2012, September.

[13] D. Jayanthi and M. Murugan, "A novel buck converter for power factor
correction using PWM technique," Advances in Engineering, Science and
Management (ICAESM), IEEE International Conference, pp. 309-314, 2012.

[14] J. Shabana and G. Renjini, "Analysis and design of bridgeless buck PFC
rectifier with single inductor," Circuit, Power and Computing Technologies
(ICCPCT), IEEE International Conference, pp. 861-866, 2014.

[15] Choi, H., «Interleaved boundary conduction mode (BCM) buck power factor
correction (PFC) converter,» IEEE Transactions on Power Electronics, pp.
2629-2634, 2013.

[16] Pires, V. F., & Silva, J. F., «Single-phase unity power-factor double buck
rectifier: topology, operation and control,» In Industrial Electronics Society,
2001. IECON'01, vol. Vol. 2, nº The 27th Annual Conference of the IEEE, pp.
pp. 937-942, 2001.

[17] Cho, H. T., Kim, J., Jung, J., & Kim, K. A., «Comparison of input power factor
correction techniques for buck converters in single-phase wireless power
transfer systems.,» In Emerging Technologies: Wireless Power (WoW), 2015
IEEE PELS Workshop, pp. (pp. 1-8). IEEE, 2015, June.

[18] Xu, J., Zhu, M., & Yao, S., «Distortion elimination for buck pfc converter with
power factor improvement,» Journal of Power Electronics, 2015.
112

[19] V. Grigore and J. Kyyrä, "High power factor rectifier based on buck converter
operating in discontinuous capacitor voltage mode," IEEE Transactions on
Power Electronics, pp. 1241-1249, 2000.

[20] Fardoun, A.; Ismail, E.; Khraim, N.; Sabzali, A.; Al-Saffar, M., «Bridgeless high-
power-factor buck-converter operating in discontinuous capacitor voltage
mode,» Industry Applications, IEEE Transactions, pp. 3457-3467, 2014.

[21] Vishay Semiconductors, «6N137-High Speed Optocoupler,» 2016.

[22] International Rectifier, "HV Floating MOS-Gate Driver ICs," Appl. Note AN-978.

[23] International Rectifier, "IR2110-HIGH AND LOW SIDE DRIVER," 2005.

[24] Fairchild Semiconductor, «FQP19N20C MOSFET Transistor,» Noviembre


2013.

[25] Vishay, "UF4007-Ultrafast Plastic Rectifier," Vishay General Semiconductor.

[26] Fairchild, «Desing and Application Guide of Bootstrap Circuit for High-Voltage
Gate-Driver IC,» Appl. Note AN-6076, 2014.

[27] J. Morales, "Analisis de Topologias de Redes de Snubber Para Transistores


de Potencia de Fuentes Conmutadas.," Universidad Tecnologica Nacional,
Agosto 2011.

[28] P. M. Simone Buso, "Digital Control in Power Electronics," Copyright, 2006.

[29] O. Jakub, "PI controller design method with desired phase margin,"
Elektrotechnika , vol. 7, no. 4, 14/04/2014.

[30] STMicroelectronics, Datasheet, STM32F401RE Development Board, 2015.

[31] «Lower Camel case,» 2014. [En línea]. Available:


http://wiki.c2.com/?LowerCamelCase.

[32] Shah, A. K., & Patel, H. R., "Implementation and analysis of different discrete
PI controller algorithms on single board heater system.".
113

[33] Smith, S.W., «The Scientist & Engineer's Guide to Digital Signal Processing».

[34] STMicroelectronics, "STM32F401xD STM32F401xE," 2014.

[35] Coilcraft Inc, «Common Mode Line Chokes – CMT,» 2013.

[36] Vishay Semiconductors, "VS-HFA25TB60PbF, VS-HFA25TB60-N3," 2015.

[37] STMicroelectronics, «STW15NK90Z Power MOSFET,» Agosto 2016.

[38] Vishay Semiconductors, «4N25, 4N26, 4N27, 4N28 Optocoupler,» 2010.

[39] K. Ogata, Ingeniería de control moderna, Pearson Educación, 2003.

[40] On Semiconductor, «MUR1510G, MUR1515G, MUR1520G,


MUR1540G,MUR1560G, MURF1560G,SUR81520G, SUR81560G,» 2014.

[41] J. B. J. Espinoza, Puente inversor: Diseño y construcción de un puente


inversor trifásico de baja tensión y propósito general, Bucaramanga:
Universidad Industrial de Santander, 2014.
A.1

A ANEXO A

DESARROLLO DE ECUACIONES

A.1 ECUACIÓN (1.10)

El rizado de voltaje en la carga se determina a partir de la ecuación de corriente del


capacitor:

ÅÒá
R P
8,# = ,*Ûè€ 7 ,*Û>$ = Ä 'c A")M@"
4 S

La forma de onda idealizada de la corriente a través del capacitor se obtiene de la


Figura 1.4 y se puede observar en Figura A.1, donde el rizado de voltaje de
ÅÒá
c A")M@"=Área
ecuación (1.10) se obtiene con .S P' bajo la curva: [2]

R 8'( %& R
8,# = b × × d
4 K K K

8'( %&
8,# =
N4

Figura A.1 Formas de onda de corriente y voltaje en el capacitor de salida del convertidor
Buck en MCC. [2]
A.2

A.2 ECUACIÓN (1.51)

¡¥ A&)
 
¡ A&) / ¡
¦  ¢£ A&)¤S
La ecuación (1.50) se tiene de

Despejando œ( A6) de la (1.46) y sin considerar las demás perturbaciones del sistema
como ,ž>$ A6) = I :

B
7 ,ž* A6) E ,>$ !h A6)
B E B
1h( A6) = *
/6 E B#$ E B( E AB††B* )

Despejando 0g* A6) de la ecuación (1.47):

B
B E B*
0g* A6) = œ( A6)
R
64 E B E B
*

Reemplazando 0g* A6) en œ( A6):

,>$ !h A6)
œ( A6) =
B P
R
ˆ/6 E B#$ E B( E AB††B* ) E Ö Ø R ‰
B E B*
64 E
B E B*

Reemplazando de 0g* A6)Men la ecuación (1.49):

B P
R
,ž# A6) = ˆAB††B* ) E b d ‰ œ( A6)
B E B* 64 E R
B E B*
Reemplazando œ( A6) en ,ž# A6):

B P
R
,>$ !h A6) ˆAB††B* ) E ÖB E B Ø R ‰
*
64 E B E B
,ž# A6) =
*

B P
R
ˆ/6 E B#$ E B( E AB††B* ) E ÖB E B Ø R ‰
*
64 E B E B
*
A.3

,>$ !h A6) BB* 64 B* B E B P


R ’ E “
B E B* AB E B* )P
64 E B E B
= *
BB*
R /6 E B#$ E B( E B E B
BB* B P

R ˆ/46 P E 46 ÖB#$ E B( E B E B ØE B E B*
*
EÖ Ø ‰
B E B*
64 E B E B *
*

,>$ !h A6)BAB* 64 E R)
=
/46 P ƒB E B* „ 46ƒAB#$ E B( )B E AB#$ E B( )B* E BB* E /„
AB#$ E B( E B) #
B EB EB E
#$ ( B EB EB#$ (
E R$

,>$ B
AB 64 E R)
,ž# A6) AB#$ E B( E B) *
=
!h A6) #/46 P ƒB E B* „ E 46ƒAB#$ E B( )B E AB#$ E B( E B)B* E /„ E R$
B#$ E B( E B B#$ E B( E B

De la ecuación (1.39) se tiene:

,# ,>$ B
=
! AB#$ E B( E B)

Entonces:

,ž# A6)
Ÿ
!h A6) ¡
 ¢£ A&)¤S

,#
AR E 64B* )
= !
/ 4BAB EB ) B E B*
R E 6 ’B E B E B E BCD#$ E B( E 4B* “ E 6 P /4 eB E B f
CD#$ E B( CD#$ ( CD#$ E B(

A.3 ECUACIÓN (1.54)

El voltaje máximo a través de 4+>³ de ecuación (1.54) se obtiene a partir de la


Figura 1.25 con: !
R Aa‚¦)ÅÒ
,*¶ = Ä '*0¢1 M A")M@"
4+>³ M S
A.4

Durante AR 7 !), 4+>³ se carga linealmente con una corriente '(+>³ , entonces:

'(+>³
,*¶ = AR 7 !)%&
4+>³ M

A.4 ECUACIÓN (1.55)

Durante un ciclo de conmutación el voltaje medio a través del capacitor 4+>³ es igual
al voltaje de entrada y se obtiene con

,>$ = Å .S Ò ,c0¢1 A")M@" = Å (Área bajo la curva de la Figura A.2)


a Å a
Ò Ò

,>$ = Å MÖ E Ø:
a  23 Aa‚¦)ÅÒ  23 ¦‘ ÅÒ
Ò P P

AR 7 ! E !a ),*¶
,>$ =
K

Figura A.2 Forma de onda de voltaje en el capacitor de entrada del convertidor Buck
operando en MVDC [20].

A.5 ECUACIÓN (1.56)

Durante un ciclo de conmutación el voltaje medio a la salida es igual al voltaje medio


a través del capacitor 4+>³ durante !a entonces:

,>$ = Å .S Ò ,c0¢1 A")M@" = Å (Área bajo la curva de la Figura A.2 durante !a %& )
a Å a
Ò Ò

,>$ = MÖ Ø:
a  23 ¦‘ ÅÒ
ÅÒ P

!a ,*¶
,>$ =
K
A.5

A.6 ECUACIÓN (1.69)

Usando las ecuaciones (1.59) y (1.63) en (1.68) se tiene:

ÅÆ
P ‘
‚?
,>$ ¸¹ºA»( ") 7 ,#
Ã>$ = Ä ,>$ ¸¹ºA»( ") M@"
%D
AR 7 !)P
K4+>³

ÅÆ
K4+>³ ,>$ ,# Õo¸A»( ") " 6Z:AK»( ")
‚?
P ‘
= E , Þ 7 à5
%D AR 7 !)P »( K É»(
4 a
? ‘

K4+>³ ,>$ K,# %( 6Z:AK»( "a )


= Õo¸A» " ) E , Þ 7 " E à5
%D AR 7 !)P »( É K»(
47 ( a >$ a

Se reemplaza "a de la ecuación (1.64):

K4+>³ ,>$ K,# R


= Õo¸ b» X¨§6Z:­&>$ d
%D AR 7 !)P »( »(
47 (

%( R 6Z:AKX¨§6Z:­&>$ )
E ,>$ Þ 7 X¨§6Z:­&>$ E à5
É »( K»(

K4+>³ ,>$ K,#


= Õo¸AX¨§6Z:­&>$ )
%D AR 7 !) »(
P
47

%( R K6Z:AX¨§6Z:­&>$ )Õo¸MAX¨§6Z:­&>$ )
E ,>$ Þ 7 X¨§6Z:­&>$ E à5
É »( K»(

Se reemplaza ­&>$ de la ecuación (1.61) y sabiendo que Õo¸AX¨§6Z:­&>$ ) =

ÈR 7 ­&>$ P entonces:

K4+>³ ,>$ K,# %( ,a ,>$


= ÈR 7 ­ P
E , 7 X¨§6Z:­ E ­ ÈR 7 ­&>$ P 5
%D AR 7 !)P »( É »( »( &>$
47 &>$ >$ &>$
A.6

Œ P
K4+>³ ,>$ ,>$ %( K­&>$ R X¨§6Z:­&>$ ­&>$ ÈR 7 ­&>$
= ‹7 ÈR 7 ­&>$ E 7
P
E Ž
%D AR 7 !)P K ‹ Ç K Ç Ç Ž
Š 

Œ P
,>$ P 4+>³ %( R X¨§6Z:­&>$ ­&>$ ÈR 7 ­&>$
Ã>$ = ‹ 7 7 Ž
AR 7 !)P %& ‹K Ç Ç Ž
Š 

A.7 ECUACIÓN (1.77)

El valor máximo de 1(+>³ se puede obtener de la ecuación (1.63):

,>$ 7 ,#
1(+>³ =
%D
K4+>³ AR 7 !)
P

Reemplazando este valor en la ecuación (1.54) tenemos el valor máximo de ,Q :

KA,>$ 7 ,# )
,Q =
R7!

A.8 ECUACIÓN (1.80)

La frecuencia de resonancia durante AR 7 !)%D del circuito serie 4+>³ y /+>³ está
definida como:

R
»# =
ÿ4+>³ /+>³

/+>³a y /+>³P deben ser lo suficientemente grandes y evitar la resonancia con los
condensadores 4+>³ :

R
KdžAR 7 !)%D Ü
ÿ4+>³ /+>³Û>$

Entonces se tiene el valor mínimo de /+>³a y /+>³P :


A.7

R AR 7 !)%D P
/+>³aÛ>$ = /+>³PÛ>$ Ü b d
4+>³ KÇ

A.9 ECUACIÓN (1.81)

La reactancia de /+>³a y /+>³P debe ser menor que la resistencia Ba Mefectiva de


entrada del convertidor para minimizar el desplazamiento de fase entre Vin e Iin.

KÇ;( /+>³a ½ Ba

Se reemplaza la ecuación (1.58) y podemos obtener el valor máximo de /+>³a y /+>³P :

AR 7 !)P %D
/+>³aÛW€ = /+>³PÛW€ ½
ÉÇ4+>³ ;(

A.10 ECUACIÓN (1.82)

La frecuencia de resonancia durante !%D del circuito serie 4+>³ y L está definida
como:

R
»# =
ÿ4+>³ M/M

/ debe ser lo suficientemente grande y de este modo evitar la resonancia con 4+>³ :

R
Kdž!%D Ü
ÿ4+>³ /

Entonces se tiene el valor mínimo de / es:

R !%D P
/Ü b d
4+>³ KÇ
B.1

B ANEXO B

MANUAL DE USUARIO

INDICE
B.1 PRECAUCIONES DE SEGURIDAD ....................................... B.2
B.2 INTRODUCCION .................................................................... B.3
B.2.1 DESCRIPCIÓN Y ESPECIFICACIONES TÉCNICAS ........ B.3
B.2.2 DESCRIPCION DEL EQUIPO ............................................ B.4
B.3 INSTALACIÓN: ....................................................................... B.5
B.4 PUESTA EN SERVICIO .......................................................... B.5
B.5 DESCONEXIÓN DEL EQUIPO ............................................... B.7
B.6 POSIBLES FALLAS Y SOLUCIONES .................................... B.7
B.6.1 El equipo no funciona ......................................................... B.8
B.2

B.1 PRECAUCIONES DE SEGURIDAD

CONSULTAR ESTE MANUAL ANTES Y/O DURANTE EL USO DE ESTE


EQUIPO. Siga las indicaciones y recomendaciones incluidas para la operación y
manipulación del equipo para así evitar daños y garantizar una larga vida al equipo.
Tome las siguientes precauciones de seguridad:

Antes de conectar el equipo compruebe que los cables de suministro eléctrico


y tomas de corriente, se encuentran en óptimas condiciones para su
operación.

Antes de conectar el equipo a la red eléctrica, verifique que el voltaje de línea


sea el adecuado.

Utilice el equipo sólo dentro de los datos técnicos especificados. Durante su


funcionamiento, no exceda las especificaciones de corriente de salida del
sistema. Máximo 2 amperios.

Tome las debidas precauciones para la instalación. Sitúelo sobre una


superficie plana y estable. No instale el equipo en lugares expuestos a
vibraciones, golpes, con temperaturas altas, húmedos, con polvos excesivos
o gases inflamables.

No obstruir el sistema de ventilación.

Reemplace un fusible dañado con otro de capacidad igual a la original. No


cortocircuite la caja del porta fusible.

No utilice el equipo cerca de altos campos electromagnéticos.

Una vez finalizado su uso, almacénelo en un lugar seco y manténgalo limpio.


B.3

B.2 INTRODUCCION

El propósito de este manual, es ayudar y orientar al usuario en la instalación, uso y


operación del equipo; se detalla la información necesaria que permite utilizar la
fuente variable de voltaje e incluye gráficos con el fin de favorecer su comprensión.

B.2.1 DESCRIPCIÓN Y ESPECIFICACIONES TÉCNICAS

La fuente de alimentación ha sido diseñada para funcionar con el suministro de la


red eléctrica de 120Vrms/60Hz, proporcionando un voltaje de salida variable entre
5 y 30V con una corriente máxima de 2 amperios. Implementa corrección activa del
factor de potencia, modificando la forma de onda de la corriente de entrada en un
rango de potencias de 5 a 60W y se ha diseñado según el estándar de regulación
internacional IEC 61000-3-2 clase D. El equipo dispone de un visualizador digital y
una perrilla para ajustar el voltaje a la salida.

Tabla B.1 Especificaciones Técnicas

Parámetro Descripción Valor Unidad

Indicador Digital Voltaje 5-30 V

Voltaje 5 - 30 V
Salida Variable Corriente máxima 2 A

Potencia 5-60 W

Alimentación Red Eléctrica 120 Vrms - 60Hz

Características Mecánicas Dimensiones 30x40x15 cm


B.4

B.2.2 DESCRIPCION DEL EQUIPO

La Figura B.1 indica la distribución de los componentes de la fuente variable de


voltaje:

1. Perrilla de ajuste de voltaje


2. Indicador Digital
3. Interruptor de Encendido/Apagado del Equipo
4. Pulsador de Encendido/Apagado de Energía
5. Terminales de alimentación del Equipo
6. Terminales de alimentación del sistema de potencia
7. Terminal de salida (+): conexión del terminal de carga positivo.
8. Terminal de salida (-): conexión del terminal de carga negativo.

Figura B.1 Vista general de la fuente de alimentación


B.5

B.3 INSTALACIÓN:

1. Conecte los cables de conexión para la alimentación del sistema de potencia


y el conector principal al enchufe de 120 Vrms/60Hz , como se muestra a
continuación:

Figura B.2 Conexión de la fuente variable de voltaje

B.4 PUESTA EN SERVICIO

Tras la conexión del suministro eléctrico, el equipo está listo para funcionar y tras
la desconexión del servicio, el equipo deja de funcionar.

A continuación se enumeran las instrucciones a seguir para la operación de la


fuente de voltaje:

1. Revise si el suministro de energía está presente.


2. Conecte el enchufe del equipo y los cables de alimentación del sistema de
potencia.
El equipo debe ser alimentado con un voltaje de línea nominal 120Vrms-
60Hz.
3. Antes de encender, retire la carga conectada de ser el caso, y proceda a
encender el equipo mediante el interruptor.
B.6

4. Fije y ajuste el voltaje de salida con la perrilla, para aumentar el voltaje gire la
perrilla contrarreloj. La pantalla LCD indicará el voltaje a la salida.

+ Perrilla
ajuste
Voltaje
de Salida

Figura B.3 Perrilla de ajuste Voltaje de Salida


5. Encender el sistema, mediante el pulsador ON/OFF

Figura B.4 Pulsador ON/OFF


6. Conecte la carga a los terminales como se muestra a continuación:

Figura B.5 Conexión de la carga

Tome en cuenta la polaridad de la carga que se va a conectar.

Además de mostrar voltaje la salida de voltaje ajustable se pueden observar luces


de mensajes luminosos que se describen en la Tabla B.2.
B.7

Tabla B.2 Posibles mensajes de la pantalla LDC

Mensaje Visualización Descripción Recomendación


Fuente Variable de
Voltaje está lista
ENCENDIDO para funcionar. Seguir los pasos para
Sistema de el uso del equipo.
Led verde ON
potencia
energizado.
Sistema de Desconectar la carga y
APAGADO potencia está seguir los pasos para
apagado. poner en marcha
nuevamente.
Led verde OFF
Desconectar el equipo,
verificar los cables de
FALLA Avería del Sistema alimentación de la
fuente o daños
Led rojo y verde ON
internos de la fuente.

B.5 DESCONEXIÓN DEL EQUIPO

1. Apagar el sistema, pulsador ON/OFF


2. Apagar el equipo, mediante el interruptor ON/OFF

B.6 POSIBLES FALLAS Y SOLUCIONES

A continuación se detallan los problemas causados por fallas internas o externas


del equipo y las posibles soluciones.
B.8

B.6.1 EL EQUIPO NO FUNCIONA

· Compruebe si los fusibles de protección se encuentra en buenas


condiciones, de no ser el caso, reemplácelo por uno de 4A.
· Mida el voltaje en cada etapa del sistema para determinar fallas en
cualquiera de las etapas del sistema.

La Tabla B.3 muestra los componentes del sistema que pueden ser reemplazados:

Tabla B.3 Componentes del convertidor

Componente Valor Elemento


Filtro de línea en modo común
Lfil 11.5mH
Coilcraft CMT3-11.5-6L
L1 0.7mH Inductor Toroidal
Cfil1 , Cfil2 18nF + 6.8nF Capacitores de polipropileno
Co1 2200uF + 2200uF Capacitor Electrolítico 160V
D1, D2, D1inf, Dp , Dn Diodo Ultra-Fast HFA25TB60PBF (600V, 25ª, Vf=1.3V)
Q11sup, Q12sup, Q1inf MOSFET SiC STW15NK90Z (900V, 15A, 400mῼ)
L2 4mH Inductor Toroidal
Co2 680uF Capacitor Electrolítico de Aluminio 200V
Q2sup, Q2inf MOSFET STW15NK90Z (200V, 19A, 170mῼ)
Opto-nand - 6N137
Gate Driver - IR2110
Opto-transistor 4N25
C.1

C ANEXO C

DIAGRAMAS ESQUEMÁTICOS PCB Y DIAGRAMAS


ELÉCTRICOS

C.1 DIAGRAMAS ESQUEMÁTICOS PCB

C.1.1 DIAGRAMA PCB PLACA DE CONTROL

GND
3.3V

VOLTAJE REF. SOBRECARGA


LED-BIRG
50%

A5

GND
1k

ENCENDIDO
LED-BIRG
GND

R11
180
A
B

G
F
C
D

dp

D1
D2
D3
D4

R4
180

J1 UC
1 40 1 40
2 39 2 39
Relay
3 38 3 38
4 37 4 37
5 36 5 36
6 35 6 35
7 34 7 34
D4
8 33 8 33
A
9 32 9 32
3.3V LOW_H B
10 31 10 31
5VuC
11 30 11 30
GND
12 29 12 29
GND C
13 28 13 28
5V HIGH_H D
14 27 14 27
E
15 26 15 26
HIGH_L F
16 25 16 25
LOW_L D3 G
17 24 17 24
D2 AGND
18 23 18 23
D1 dp
19 22 19 22
20 21 20 21
A5
CONN-DIL40 CONN-DIL40

Figura C.1 Diagrama Esquemático de la Placa de Control

Figura C.2 PCB de la Placa de Control


C.2

Figura C.3 PCB de la Placa de Control

C.1.2 DIAGRAMA PCB PLACA DE LA PRIMERA ETAPA

Figura C.4 PCB de la Placa de la primera etapa


C.3

C.1.3 DIAGRAMA PCB PLACA DE LA SEGUNDA ETAPA

Figura C.5 PCB de la Placa de la segunda etapa

Primera Etapa AC/DC


para CPF

120V/60Hz
Fuente 5V Vac
y 12V (H)

12V
GND
5V

Vo 1era
Etapa

Segunda Etapa DC/DC


Regulacion de Voltaje a
la Salida

Voltaje
de
Salida
GND
12V
5V

Fuente 5V y 12V

Figura C.6 Placa de la Primera y Segunda etapa implementada


C.4

TRANSFORMADOR
PUENTE
2W005G L3 convertidor DC-DC LM2596
5V_uC
1uH
VRED
120 Vrms @ 60 Hz C2 C3
C19 3300uF 1nF
3300uF

TRAN-2P2S

GNDuC

1 3
VI VO 5_H (V)

GND
C9
C5 C6 C8

2
0.1uF 2200uF
7805 100uF 0.1uF

TRANSFORMADOR GND1
PUENTE RECTIFICADOR
2W005G

7812
VRED 1 3
VI VO 12_H (V)
120 Vrms @ 60 Hz

GND
C10
C1 C7

2
0.1uF 2200uF
3 C4
100uF 0.1uF
TRAN-2P2S

GND1

7805
1 3 5V
VI VO
1

GND
5_H (V)
C17 C14
C13 1000uF C16 RELAY

2
0.1uF 2200uF 0.1uF 5V
D3
1N4007
TRANSFORMADOR GND
PUENTE RECTIFICADOR
2W005G
U3
78012 B 6 Q5
R25 R26 com
1 3 1 A C 5 2N3906
VRED VI VO 12V RELAY
120 Vrms @ 60 Hz 270 1K

GND
2 4
C18 GNDuC
K E
C11 C12 C15

2
4N25
0.1uF 2200uF 100uF 0.1uF
TRAN-2P2S
GND1
GND

ESCUELA POLITÉCNICA NACIONAL


REVISADO POR: Ing. Leonardo Ortega Msc. PLANOS ELECTRICOS
ANEXO C REALIZADO POR: DESCRIPCION: Esquema de las
Fuentes de 5V y 12V y circuito para
LÁMINA ÁLVAREZ ORBE CYNTHIA
en Encendido/Apagado del sistema
1/3 ESTEFANIA de potencia
Fecha: 23/05/2017
C.5

CFIL2

5_H (V)
12_H (V)

GND
D2 24.8nF

9 3 IR2110_H1
HFA25TB60PBF RED
10 6 120Vrms @ 60Hz
VDD VC
HIN VB
R12_SNNUBER_H
11 7
SD HO LFIL2
5 47
VS R17
12 1 11.5mH
PWM1_1 LIN
VSS COM LO
20 D_H1
Q2
STW15NK90Z FU1
13 2 IR2112
1
C12_SNNUBER_H 3A
UF4007
GND1 com
5_H (V) RQ_H2 1.5nF
33k 11.5mH
5_H (V) 12_H (V) LFIL1 DN
4

8
D1 DP MUR1520G
R16 MUR1520G
2 7 9 3 IR2110_H2
3.3V uC PWM1_1
1k D_H2
Tfòbm!vD R13 3 6
10
VDD VC
6 HFA25TB60PBF
HIGH_H HIN VB
270
11 7 UF4007 Q1 R11_SNNUBER_H CFIL1
SD HO
5 STW15NK90Z

5
6N137 VS R18 47 24.8nF
12 1
PWM1_1 LIN
VSS COM LO GND
GND1
20
13 2 IR2112
5_H (V) 1ERA
RQ_H1 C11_SNNUBER_H GND
GND1 33k 1.5nF
5V_uC

5 DL1 L1

8
2 7
R15
3.3V uC PWM1_2 0.7mH U4:B
1k 5V 12V
MUR1520G
4

Tfòbm!vD R14 3 6 9 3 IR2110_L


HIGH_H voltajeRealimentado1
270 5
49%

10 6 R1_SNNUBER_L 7
VDD VC
HIN VB

5
6N137
Q 100 6
11 7
GND1 SD HO
5 100k
VS RGATE
11

12 1 STW15NK90Z D
PWM1_2 LIN
VSS COM LO MCP6004
20 HFA25TB60PBF CO1_1 CO1_2
D1 2200uF 2200uF R3
13 2 IR2112 1.8k
C1_SNUBBER_L
2.2nF
UF4007 RLINF
GNDuC

33k
GND
GNDuC

ESCUELA POLITÉCNICA NACIONAL


REVISADO POR: Ing. Leonardo Ortega Msc. PLANOS ELECTRICOS
ANEXO C REALIZADO POR: DESCRIPCION: Esquema Primera
Etapa del Sistema.
LÁMINA ÁLVAREZ ORBE CYNTHIA
2/3 ESTEFANIA Fecha: 23/05/2017
C.6

Vo1

FU2
5A

5V DGATE_H
R3_SNNUBER_H
UF4007 15
Q3

5V
12V
U1

8
RGATE_H FQP19N20C

2 7
R10
3.3V uC PWM2_1 33
1k R5 C3_SNNUBER_H
R9 1nF
3 6 4.7 R8
5V_uC

Tfòbm!vDHIGH_L
82k
270 11 3 DBOOP
IR2110 UF4007
L2
12 7

5
6N137 PWM2_1 VDD VC
HIN VB 2DA
4mH U4:A
13 8
4

GND SD HO
6 CBOOT R2_SNUBBER_L
VS
14 1 0.47uF 100 3
PWM2_2 LIN
VSS COM LO
63%

1
R6 Co2 voltajeRealimentado2
Q4 . 2
15 2 FQP19N20C 680uF
4.7
100k

5V
11

MCP6004
C4_SNUBBER_L R2
R7 2.2nF 6.8k
GND RGATE_L 82k

U2 33

8
GNDuC

2 7
R12 DGATE_L
3.3V uC PWM2_2
1k
Tfòbm!vD R1 3 6 UF4007
GNDuC

LOW_L GND
270

5
6N137
GND

ESCUELA POLITÉCNICA NACIONAL


REVISADO POR: Ing. Leonardo Ortega Msc. PLANOS ELECTRICOS
ANEXO C REALIZADO POR: DESCRIPCION: Esquema
Segunda Etapa del Sistema.
LÁMINA ÁLVAREZ ORBE CYNTHIA
3/3 ESTEFANIA DESCRIPCION: Esquema
Segunda Etapa del Sistema.
D.1

D ANEXO D

HOJAS DE DATOS

D.1 STM32F401RE (PLATAFORMA DE DESARROLLO) [34]


D.2

D.2 CMT3-11.5-6L (BOBINA) [35]


D.3

D.3 HFA25TB60PBF [36]


D.4
D.5

D.4 STW15NK90Z [37]


D.6
D.7
D.8
D.9
D.10

D.5 FQP19N20C [24]


D.11
D.12

D.6 6N137 (OPTONAND) [21]


D.13
D.14
D.15

D.7 IR2110 (GATE DRIVER) [23]


D.16
D.17
D.18

D.8 4N25 (OPTOACOPLADOR) [38]


D.19
D.20

D.9 UF4007 (DIODO ULTRFAST) [25]

Você também pode gostar