Você está na página 1de 12

UNIDAD 1: PASO 1 - REALIZAR LA ACTIVIDAD DE PRESABERES

Presentado por:

WILDER ALFONSO ESPINOSA

Materia:

MICROPROCESADORES Y MICROCONTROLADORES

Tutor:

NESTOR JAVIER RODRIGUEZ

Universidad Nacional Abierta a Distancia

2018
INTRODUCCIÓN

Mediante el presente trabajo se pretende adentrar en el conocimiento de los


microprocesadores y microcontroladores los cuales hacen parte fundamental de la vida
cotidiana, pues se encuentran en casi cualquier electrodoméstico, haciéndonos más fácil la
vida, también se pretende revisar los contenidos del curso para tener claridad sobre lo que
se pretende de él, realizando dos puntos importantes en el trabajo los cuales son los
aspectos teóricos de las compuertas lógicas, y el diseño de la ALU.
COMPUERTAS LÓGICAS

Lógica Positiva: en esta notación al 1 lógico le corresponde el nivel más alto


de tensión (positivo) y al 0 lógico el nivel más bajo (negativo) ¿pero qué
ocurre cuando la señal no está bien definida en 0 o 1? Habrá que conocer
cuáles son los límites para cada tipo de señal (conocido como tensión de
histéresis), en la figura 1 se puede ver con mayor claridad cada estado lógico
y su nivel de tensión.

Fig.1 Diagrama lógico de la lógica positiva

Es decir que a toda tensión comprendida entre 0 y 2,5 la denominamos cero y


a toda tensión comprendida entre 3,5 y 5 lo denominamos 1. entre 2,5 y 3,5
quedan los niveles que llamamos indefinidos.

Lógica Negativa: Aquí ocurre todo lo contrario, es decir, se representa al


estado “1″ con los niveles más bajos de tensión y al “0″ con los niveles más
altos.

Fig.2 Diagrama lógico de la lógica negativa

Por lo general se suele trabajar con lógica positiva, y así lo haremos en este
curso, la forma más sencilla de representar estos estados es como se puede
ver en el siguiente gráfico.
Fig.3 Forma sencilla de representación

COMPUERTAS LÓGICAS

Las compuertas son dispositivos que operan con aquellos estados lógicos
mencionados en el punto anterior. Pueden asimilarse a una calculadora, por
un lado ingresas los datos, la compuerta realiza la operación lógica
correspondiente a su tipo, y finalmente, muestra el resultado en algún display.

Fig.4 Aplicación de una operación lógica

Cada compuerta lógica realiza una operaci ón aritmética o lógica diferente,


que se representa mediante un símbolo de circuito. La operación que realiza
(Operación lógica) tiene correspondencia con una determinada tabla, llamada
“Tabla de Verdad”. A continuación vamos a analizar las diferentes
operaciones lógicas una por una comenzando por la más simple.

COMPUERTA NEGADORA O NOT

Se trata de un amplificador inversor, es decir, invierte el dato de entrada y lo


saca sobre una salida de baja impedancia, que admite la carga de varias
compuertas en paralelo, o de un display de baja impedancia; por ejemplo si se
pone su entrada a 1 (nivel alto) se obtiene una salida 0 (o nivel bajo), y
viceversa. Esta compuerta dispone de una sola entrada que llamaremos A. Su
operación lógica genera una salida S igual a la entrada A invertida.
Fig.5 Compuerta NOT

La tabla de verdad nos indica que la salida S siempre es el estado contrario al


de la entrada A. La ecuación matemática binaria indica que la salida S es
siempre igual a la entrada negada lo que se representa con la rayita sobre la
A.

COMPUERTA AND Ó “Y”

Una compuerta AND tiene dos entradas c omo mínimo y su operación lógica
es un producto de ambas entradas. El lector no se debe confundir porque las
operaciones lógicas pueden no concordar con las aritméticas, aunque en este
caso particular coincidan. Su salida será alta si sus dos entradas está n a nivel
alto.

Fig.6 Compuerta and

El nombre aclara la función. Deben estar altos A y B para que se levante S.

Una aplicación de esta compuerta puede ser un sistema de seguridad para un


balancín. Para evitar que las manos del operario estén dentro de la zona de
presión, se colocan dos pulsadores que ponen un uno en cada entrada. Los
pulsadores están bien separados entre si. Recién cuando el operario los pulse
aparece un uno en la salida que opera el relay del motor.
COMPUERTA OR Ó “O”

Al igual que la anterior posee dos entradas como mínimo y la operación


lógica, será una suma entre ambas. Aquí podemos ver que la operación
aritmética no coincide con la lógica ya que la última condición de la tabla de
verdad es 1+1=1 y en la operación aritmética seria 1+1= 2. La operación
lógica O es inclusiva; es decir que la salida es alta si una sola de las entradas
es alta o inclusive si ambas lo son. Es decir, basta que una de las entradas sea
1 para que su salida también lo sea. Deben ser altas A “o” B o ambas al
mismo tiempo, para que la salida sea alta.

Fig.7 Compuerta “Or”

Un ejemplo de uso puede ser que se desee que un motor se opere con una
pequeña llave desde una oficina, o en forma local desde al lado del motor;
pero no se desea que el motor se apague, si se cierran las dos llaves. La salida
debe comandar al contactor del motor y las llaves de entrada deben conectar
la tensión de fuente a las entradas.

COMPUERTA OR-EX Ó XOR Ó “O EXCLUSIVA”

En nuestro caso la OR Exclusiva tiene dos entradas (pero puede tener más) y
lo que hará con ellas será una suma lógica entre “A” por “ B”invertida y
“A”invertida por “B”. Todo un lío si consideramos su fórmula pero su tabla
de verdad es muy sencilla y su descripción también, ya que la salida será alta
solo si una de las entradas lo es, pero no lo es, si lo son las dos al mismo
tiempo.
Fig.8 Compuerta XOR

Como ejemplo recurrimos al caso anterior pero donde deseamos que si la


maquina se opera en forma local no pueda operarse también en forma remota.

Estas serían básicamente las compuertas más sencillas. Pero no son todas las
que hay porque existen combinaciones de las compuertas básicas con
compuertas negadoras que vamos a ver a continuación.

COMPUERTAS LÓGICAS COMBINADAS

Al agregar una compuerta NOT a la salida de cada una de las compuertas


anteriores los resultados de sus respectivas tabl as de verdad se invierten, y
dan origen a tres nuevas compuertas: NAND, NOR y NOR -EX. Veamos ahora
sus características y cuál es el símbolo que las representa.

La compuerta NAND responde a la inversión del producto lógico de sus


entradas, en su representación simbólica se reemplaza la compuerta NOT por
un círculo sobre su salida.

Fig.9 Compuerta NAND

Una compuerta NOR se obtiene conectando una NOT a la salida de una OR.
El resultado que se obtiene a la salida de esta compuerta resulta de la
inversión de la operación lógica “o inclusiva” es como un “no a y/o b”. Igual
que antes, solo se agrega un círcul o a la compuerta OR y ya se obtiene el
símbolo de una NOR.

Fig.10 Compuerta NOR

La compuerta NOR-EX, es simplemente la inversión de la compuerta OR -EX,


los resultados se pueden apreciar en la tabla de verdad en donde la columna S
es la negación de la anterior. El símbolo que la representa se obtienen
agregando un circulo a la salida de una OR -EX.

Fig.11 Compuerta NOR-EX

Las compuerta “buffer” sería una compuerta negadora detrás de otra negadora
lo cual no parece tener sentido ya que la tabla de verdad s ería una repetición
de la entrada en la salida. Pero sin embargo existen y tienen un uso muy
importante aclarado por su nombre que significa expansora o reforzadora. Se
usan para alimentar a un conjunto de compuertas conectadas sobre su salida.
El buffer en realidad no realiza ninguna operación lógica, su finalidad es
amplificar la señal (o refrescarla para decirlo de otra manera ya que no se
incrementa su amplitud sino su capacidad de hacer circular corriente. Como
puede ver en la figura 12 la señal de sal ida es la misma que la de entrada.
Fig.12 Compuerta buffer

Hasta aquí llegó la teoría aunque dimos algunos ejemplos prácticos. Ahora
nos interesa más saber cómo se hacen evidentes estos estados lógicos y
operaciones para lograr resultados prácticos, y en qué circuitos integrados se
las puede encontrar. Pero antes debemos estudiar las distintas familias de
compuertas que existen en la actualidad.
Diseño de la ALU

OPERACIONES COMPLEJAS

Un ingeniero puede diseñar una ALU para calcular cualquier operación, sin importar lo
compleja que sea; el problema es que cuanto más compleja sea la operación, tanto más
costosa será la ALU, más espacio usará en el procesador, y más energía disipará, etc.

Por lo tanto, los ingenieros siempre calculan un compromiso, para proporcionar al


procesador (u otros circuitos) una ALU suficientemente potente para calcular rápido, pero
no de una complejidad de tal calibre que haga una ALU económicamente prohibitiva.
Imagina que necesitas calcular, digamos, la raíz cuadrada de un número; el ingeniero digital
examinará las opciones siguientes para implementar esta operación:

1. Diseñar una ALU muy compleja que calcule la raíz cuadrada de cualquier número en un
solo paso. Esto es llamado cálculo en un solo ciclo de reloj.

2. Diseñar una ALU compleja que calcule la raíz cuadrada con varios pasos (como el
algoritmo que aprendimos en la escuela). Esto es llamado cálculo iterativo, y generalmente
confía en el control de una unidad de control compleja con microcódigo incorporado.

3. Diseñar una ALU simple en el procesador, y vender un procesador separado,


especializado y costoso, que el cliente pueda instalar adicional al procesador, y que
implementa una de las opciones de arriba. Esto es llamado coprocesador o unidad de coma
flotante.

4. Emular la existencia del coprocesador, es decir, siempre que un programa intente


realizar el cálculo de la raíz cuadrada, hacer que el procesador compruebe si hay presente
un coprocesador y usarlo si lo hay; si no hay uno, interrumpir el proceso del programa e
invocar al sistema operativo para realizar el cálculo de la raíz cuadrada por medio de un
cierto algoritmo de software. Esto es llamado emulación por software.

5. Decir a los programadores que no existe el coprocesador y no hay emulación, así que
tendrán que escribir sus propios algoritmos para calcular raíces cuadradas por software.
Esto es realizado por bibliotecas de software.

Las opciones superiores van de la más rápida y más costosa a la más lenta y económica. Por
lo tanto, mientras que incluso la computadora más simple puede calcular la fórmula más
complicada, las computadoras más simples generalmente tomarán un tiempo largo porque
varios de los pasos para calcular la fórmula implicarán las opciones #3, #4 y #5 de arriba.
Los procesadores complejos como el Pentium IV y el AMD Athlon 64 implementan la
opción #1 para las operaciones más complejas y la más lenta #2 para las operaciones
extremadamente complejas. Eso es posible por la capacidad de construir ALU muy
complejas en estos procesadores.

Sección Lógica

Los datos de entrada en una operación lógica son manipulados en forma separada y los bits
son tratados como variables binarias. En la tabla 3.12.1. se listan cuatro operaciones lógicas
OR, OR - Exclusiva, AND y NOT. En el circuito, las dos líneas de selección (S1, S0)
permiten seleccionar una de las compuertas de entrada, correspondientes a la función Fi.

Você também pode gostar