Você está na página 1de 25

INSTITUTO TECNOLÓGICO SUPERIOR DE MISANTLA

ASIGNATURA:

ELECTRONICA DIGITAL

INVESTIGACIÓN

DOCENTE:

ING. MARIO PEREZ ACOSTA

UNIDAD N°

PERIODO: AGOSTO 2018 -ENERO 2019

OPCION:

PRIMERA OPORTUNIDAD

INGENIERIA ELECTROMECANICA

SEMESTRE: 5° GRUPO: “504”

PRESENTA:

RAMOS RODRIGUEZ DAVOR EDUARDO

MONZON GONZALES HERWIN EDUARDO

BELLO RODRIGUEZ PAUL DANIEL

INDOVAL BAEZ RUFINO

ARIAS PEREZ ANGEL OSMAR

FECHA: 28/11/2018

[Escriba texto] Página 1


Índice

INTRODUCCIÓN ........................................................................................................................ 3
Medio sumador ........................................................................................................................ 4
Sumador completo ................................................................................................................... 5
MEDIO RESTADOR .................................................................................................................... 7
RESTADOR COMPLETO.............................................................................................................. 8
Conclusión.............................................................................................................................. 24
Bibliografía ............................................................................................................................. 25

[Escriba texto] Página 2


INTRODUCCIÓN

Este trabajo tiene como objetivo realizar una investigación sobre los siguientes temas que son:
medio sumador, sumador completo, medio restador y restador completo y como sabemos. Los
circuitos lógicos para sistemas digitales pueden ser combinacionales o secuenciales. Un circuito
combinacional consiste en compuertas lógicas cuyas salidas en cualquier momento están
determinadas por la combinación actual de entradas. Un circuito combinacional realiza una
operación que se puede especificar lógicamente con un conjunto de funciones booleanas. Los
circuitos secuenciales usan elementos de almacenamiento además de compuertas lógicas, y sus
salidas son función de las entradas y del estado de los elementos de almacenamiento.

Esto último, a su vez, es función de entradas anteriores. Por ello, las salidas de un circuito
secuencial dependen no sólo de los valores actuales de las entradas, sino también de entradas
anteriores, y el comportamiento del circuito se debe especificar con una sucesión temporal de
entradas y estados internos.

[Escriba texto] Página 3


Medio sumador

Por la descripción verbal del medio sumador, se sabe que este circuito necesita dos entradas
binarias y dos salidas binarias. Las variables de entrada designan los bits sumandos; las de salida, la
suma y el acarreo. Asignaremos los símbolos x y y a las dos entradas y S (de suma) y C (de carry) a
las salidas. La tabla de verdad del semisumador se presenta en la tabla 4-3. La salida C es 1 sólo
cuando ambas entradas son 1. La salida S representa el bit menos significativo de la suma.

Las funciones booleanas simplificadas para las dos salidas se obtienen directamente de la tabla de
verdad. Las expresiones simplificadas en suma de productos son

El diagrama lógico del semisumador implementado como suma de productos se observa en la


figura 4-5a). También se puede implementar con un OR exclusivo y una compuerta AND, como se
indica en la figura 4-5b). Esta forma se utiliza para mostrar cómo dos semisumadores sirven para
construir un sumador completo.

[Escriba texto] Página 4


Sumador completo

Un sumador completo es un circuito combinacional que forma la suma aritmética de tres bits.
Tiene tres entradas y dos salidas. Dos de las variables de entrada, denotadas por x y y, representan
los dos bits significativos que se sumarán. La tercera entrada, z, representa el acarreo de la
posición significativa inmediata inferior. Se requieren dos salidas porque la suma aritmética de
tres dígitos binarios puede tener valores entre 0 y 3, y el 2 o el 3 binarios requieren dos dígitos. Las
dos salidas se designan otra vez con los símbolos S y C. La variable binaria S da el valor del bit
menos significativo de la suma. La variable binaria C da el acarreo de salida. La tabla de verdad del
sumador completo se presenta en la tabla 4-4. Las ocho filas bajo las variables de entrada dan
todas las posibles combinaciones de las tres variables. Las variables de salida se determinan a
partir de la suma aritmética de los bits de entrada. Si todos los bits de entrada son 0, la salida es 0.
La salida S es 1 cuando sólo una entrada es 1 o cuando las tres entradas son 1. La salida C da un
acarreo de 1 si dos o tres entradas son 1.

Los bits de entrada y de salida del circuito combinacional tienen diferentes interpretaciones en las
distintas etapas del problema. Físicamente, las señales binarias de las entradas se consideran
dígitos binarios que deben sumarse aritméticamente para formar una salida de dos dígitos. Por
otra parte, los mismos valores binarios se consideran variables de funciones booleanas cuando se
expresan en la tabla de verdad o cuando el circuito se implementa con compuertas.

lógicas. Los mapas para las salidas del sumador completo aparecen en la figura 4-6. Las
expresiones simplificadas son:

El diagrama lógico para el sumador completo implementado en forma de suma de productos se


muestra en la figura 4-7. También puede implementarse con dos semisumadores y una compuerta
OR, como se indica en la figura 4-8. La salida S del segundo semisumador es el OR exclusivo de z y
la salida del primer semisumador, lo que da

[Escriba texto] Página 5


La salida de acarreo es:

[Escriba texto] Página 6


MEDIO RESTADOR

Un medio restador es un circuito combinacional que sustrae dos bits y produce su diferencia.
También tiene una salida para especificar si se ha tomado un 1. Se designa el bit minuendo por x y
el bit sustraendo mediante y. para llevar a cabo x-y, tienen

Que verificarse las magnitudes relativas de x y, y. si x > y. se tienen tres posibilidades: 0 – 0= 0, 1 –


0=1 y, 1-1=9. El resultado se denomina bit de diferencia. Si x < y, tenemos 0-1 y es necesario tomar
un 1 de la siguiente etapa más alta. El 1 que se toma de la siguiente etapa más alta añade 2 al bit
minuendo. De la misma forma que en el sistema decimal lo que se toma añade 10 a un digito
minuendo. Con el minuendo igual a 2, la diferencia y se denotara por el símbolo D. la segunda
salida, denotada para B para lo que se toma, genera la señal binaria que informa a la siguiente
etapa que se ha tomado un 1. La tabla de verdad para las relaciones de entrada-salida de un
medio restador ahora puede derivarse como sigue:

La salida que toma B e un 0 en tanto que x > y. es un 1 para x=0 y, y=1. La salida D es el resultado
de la operación aritmetica 2B + x – y.

[Escriba texto] Página 7


Las funciones boleanas para las dos salidas del medio restador se derivan de manera directa de la
tabla de verdad:

Es interesante observar que la logica para D es exactamente la misma que la logica para la salida S
en el medio sumador

RESTADOR COMPLETO

un restador completo es un circuito combinacional que lleva a cabo una sustraccion entre dos bits,
tomando en cuenta que un 1 se ha tomado por una estapa significativa mas baja. este circuito
tiene tres enetradas y dos salidas. Las tres entradas, X, Y, y Z, denotando el minuendo, sustraendo
y a la toma previa, respectivamente. Las dos salidas, D y B, representan la diferencia y la salida
tomada, respectivamente. La tabla de verdad para el circuito es como sigue:

Los ocho renglones bajo las variables de entrada designan todas las combinaciones posibles de 1 y
0 que pueden tomar las variables binarias. Los 1 y 0 para las viariables de salida estan
determinados por la sustraccion de x-y-z. las combinaciones que tienen salida de toma z=0 se
reducen a las mismas cuatro condiciones del medio sumador. Para x=0, y=0 y z=01, tienen que
tomarse un 1 de las siguiente etapa, lo cual hace B=1 y añade 2 a x. ya que 2-0-1=1, D=1. Para x=0
y, yz= 1 1. Necesita tomarse otra vez, haciendo B=1 y x=2. Ya que 2-1-1=0, D=0. Para x=1 y, yz=0 1.
Se tiene que x-y-z=0, lo cual hace B=0 yD=0. Por ultimo, para x=1. Y=1.Z=1. Tiene que tomarse 1.
Haciendo B=1 y x=3 y, 3-1-1=1. Haciendo D=1.

La funcion boleana simplificada para las dos salidas del restador completo se derivan en los mapas
de la fig 4-6. Las funciones simplificadas de salida en suma de productos son:

[Escriba texto] Página 8


De nuevo se observa que la función lógica para la salida D en el restador completo es exactamente
la misma que para la salida S del sumador completo. Además, la salida B se asemeja a la función
para C en el sumador completo, excepto que la variable de entrada x esta complementada. Debido
a estas similitudes, es posible convertir un sumador completo en un restador completo,
complementado tan solo entrada x antes de su aplicación a las compuertas que dormán la salida
de acarreo.

Objetivo.

El objetivo principal de estas prácticas es aplicar los conocimientos adquiridos en clase para
construcción de un circuito electrónico que realice las operaciones básicas de suma y resta de dos
números en su representación binaria, por lo cual cada número de estos consistirán de una
longitud de 4 bits.

Introducción.

[Escriba texto] Página 9


Como sabemos tanto la suma y la resta pertenecen al conjunto de operaciones básicas de la
Unidad Aritmética Lógica (ALU, por si siglas en ingles). Así que para poder continuar daremos una
definición:

ALU : es una de las unidades que conforman la Unidad Central de Procesos (CPU) mediante la cual
se pueden realizar un conjunto de operaciones aritméticas básicas las cuales son suma, resta,
división y multiplicación y de operaciones lógicas las cuales son OR, NOT, AND, etc.

Los circuitos mediante los que la ALU ejecuta dichas operaciones pueden ser desde muy simples a
muy complejos. Y dichos circuitos se encuentran de diversos componentes que permiten que la
ALU pueda efectuar las operaciones.

Entre estos componentes se encuentra el dispositivo de adición, con el que realiza las operaciones
aritméticas; los registros, que contiene a los operandos (proporcionados por la unidad de control y
que son los que realizan la operación), a los resultados parciales, a los resultados finales y por
último los dispositivos de control de cálculo, que dirige y controla las operaciones.

La Unidad de Control es la que le envía a la ALU las órdenes que debe realizar y la que se encarga
de transportar los resultados obtenidos. De esta manera, vemos como la Unidad de Control le
envía las acciones a realizar, la ALU procesa los datos y sus resultados quedan en el registro de
salida de la ALU, desde donde serán transportados por otros mecanismos.

Circuito combinacionales y secuenciales.

Como se mencionó antes el objetivo es la creación de un circuito electrónico, existen tanto


circuitos combinacionales como circuitos secuenciales.

Circuito combinacional: es un circuito digital cuyas salidas en un instante concreto vienen dadas
por las entradas del circuito en ese mismo momento.

Como consecuencia de la definición anterior un circuito combinacional no puede tener bucles


cerrados o realimentaciones, porque si hay bucles, la entrada se realimenta o cambia durante el
circuito. Un circuito combinacional puede describirse utilizando una fórmula con Álgebra de
Boole en la que las salidas sean dependientes solamente de las entradas.

Circuito secuencial: es un circuito digital los valores de las salidas, en un momento dado, no
dependen exclusivamente de los valores de las entradas en dicho momento, sino también
dependen del estado anterior o estado interno.

Los circuitos secuenciales tienen capacidad para recordar o memorizar los valores de las variables
de entrada. Esta operación es imprescindible en los circuitos digitales, sobre todo en los
programables. El almacenamiento o memorización de la información presente en la puerta del
circuito se realiza gracias a la existencia de unas variables denominadas de estado interno, cuyo
valor se verá afectado por los cambios producidos en la combinación binaria aplicada a la entrada.
Tanto las salidas como el estado siguiente son función de las entradas y del estado presente. El

[Escriba texto] Página 10


análisis de los circuitos secuenciales consiste en obtener una tabla o un diagrama de las secuencias
de tiempo de las entradas, salidas y estados internos. También es posible escribir expresiones
booleanas que describen el comportamiento de los circuitos secuenciales.

Por lo tanto para el desarrollo de la práctica utilizaremos circuitos secuenciales.

Desarrollo

El Sistema numérico binario

Es un sistema de numeración en el que los números se representan utilizando solamente


las cifras cero y uno (0 y 1). Es el que se utiliza en las computadoras, pues trabajan internamente
con dos niveles de voltaje, por lo que su sistema de numeración natural es el sistema binario
(encendido 1, apagado 0).

1. Medio restador

Implícitamente, a la operación de la resta va ligada la necesidad de representación de números


positivos y negativos. En el caso del binario, el sistema de representación más sencillo, a priori, es
el módulo-signo.

En la diferencia, cada bit del sustraendo se resta de su correspondiente bit del minuendo para
formar el bit de la diferencia. El préstamo ocurre cuando el bit del minuendo es menor al bit del
sustraendo, de tal forma que se presta un 1 de la siguiente posición significativa.
La resta se implementa mediante un sumador. El método consiste en llevar al minuendo a una de
las entradas y el sustraendo en complemento 2 a la otra entrada.

Un medio restador es un circuito combinacional que sustrae dos bits y produce su diferencia.
También tiene la salida para especificar si se ha tomado un 1.. El medio restador requiere dos
salidas. Una salida genera la diferencia y se denotará por el símbolo D. La segunda salida,
denotada B para lo que se toma, genera la señal binaria que informa a la siguiente etapa que se ha
tomado un 1. La tabla de verdad para las relaciones de entrada-salida de un medio restador ahora
puede derivarse como sigue:

Es interesante observar que la lógica para D es exactamente la misma que la lógica para la salida S
en el medio sumador.

El logigrama del restador es el siguiente:

[Escriba texto] Página 11


Su circuito topológico es el siguiente:

[Escriba texto] Página 12


[Escriba texto] Página 13
2.Restador Completo

Un restador completo es un circuito combinacional que lleva a cabo una sustracción entre dos bits,
tomando en cuenta en un 1 se ha tomado por una etapa significativa más baja. Este circuito tiene
tres entrada y dos salidas. Las tres entradas x, y y z, denotan al minuendo, sustraendo y a la toma
previa, respectivamente. Las dos salidas, D y B, representan la diferencia y la salida tomada,
respectivamente. La tabla de verdad para el circuito es como sigue:

El circuito lógico implementado con compuertas es el siguiente:

[Escriba texto] Página 14


El circuito topológico del restador completo es el siguiente:

[Escriba texto] Página 15


[Escriba texto] Página 16
[Escriba texto] Página 17
3. Sumador completo

[Escriba texto] Página 18


[Escriba texto] Página 19
[Escriba texto] Página 20
4. Medio sumador

[Escriba texto] Página 21


[Escriba texto] Página 22
[Escriba texto] Página 23
Conclusión

Como conclusión podemos decir que al realizar esta investigación sobre los temas de medio
sumador, sumador completo, medio restador y restador completo, y como ya sabemos Los
circuitos lógicos para sistemas digitales pueden ser combinacionales o secuenciales. Un circuito
combinacional consiste en compuertas lógicas cuyas salidas en cualquier momento están
determinadas por la combinación actual de entradas. Los circuitos secuenciales usan elementos de
almacenamiento además de compuertas lógicas, y sus salidas son función de las entradas y del
estado de los elementos de almacenamiento.

También puedo decir que es importante saber sobre estos temas ya que nos servirán para realizar
nuestras prácticas, así como para redactar nuestro reportes de práctica durante la materia en
curso y tal vez en próximas materias., esta información no ayuda a facilitarnos el trabajo ya que
nos explica de manera clara y precisa los conceptos básicos.

[Escriba texto] Página 24


Bibliografía

http://hugochoque.com/documentos/diseno-digital-morris-mano-en-espanol.pdf

http://ollintec.com/SistemasDigitales/libros/Dise%C3%B1o%20digital,%203ra%20Edici%C3%B3n%
20-%20M.%20Morris%20Mano-FREELIBROS.ORG.pdf

[Escriba texto] Página 25

Você também pode gostar