Você está na página 1de 6

hipsa-cs-2005-103: ModelSim XE

How to... using ModelSim XE


━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━
Hoyoung Lee, Jonghyun Park, JunSeong Kim
Last modification: 2010-08-30
Laboratory for High Performance System Architecture
School of Electrical and Electronics Engineering, Chung-Ang University
221 HeukSeok-Dong DongJak-Gu, Seoul, Korea 156-756
(02)820-5294 lhy8106@wm.cau.ac.kr http://hi.cau.ac.kr/
━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━
1. Modelsim 개요
ModelSim XE-III is a complete HDL simulation environment that enables you to verify the
functional and timing models of your design, and your HDL source code. Optimized for use with
all configurations of Xilinx ISE products and supports designs with up to 2M system gates.
ModelSim offers the industrys widest range of support for HDL simulation and is the simulator of
choice for both ASIC and FPGA design. It comes in two versions: the Personal Edition (ModelSim
PE) and the Special Edition (ModelSim SE). Both offer dual language support, easy-to-use
interface and the best customer support in the industry. ModelSim PE is the price/performance
leader for PC-based FPGA designs. ModelSim SE is a premium product, with the features and
sign-off support necessary for cutting-edge ASIC and high-end FPGA development on both PCs
and Unix workstations. Each of the ModelSim tools includes a complete HDL simulation and
debugging environment providing 100% VHDL and Verilog language coverage, a source code
viewer/editor, waveform viewer, design structure browser,list window, and a host of other
features designed to enhance productivity. A license for the ModelSim XE-Starter is available for
free to all registered, in-warranty users.

2. Xilinx홈페이지 계정생성
- http://www.xilinx.com/ 접속
- 상단 sign in 메뉴 클릭
- 새계정 만들기를 클릭하여 계정을 생성
- 계정을 생성하면 등록한 e-mail로 게정을 활성화 할 수 있는 링크를 담은 메일 도착

Dear ?????
To activate your Xilinx.com account, visit the following link within 30 days and log in with
your User ID:
https://secure.xilinx.com/webreg/activate.do?languageID=1&key

User ID: ??????

If you don't activate your account within 30 days, you must re-register.
If you did not attempt to create an account, you may ignore this email or report this incident.

Sincerely,
Xilinx Customer Service

- 링크 접속후 추가사항 입력(완료)


hipsa-cs-2005-103: ModelSim XE

3. 프로그램 다운로드
- 계정 등록후 상단의 download 메뉴 클릭
- design tab -> version 12.1 -> Modelsim XE - 12.1 Full Product Installation
- 다운로드 실시

4. 프로그램 설치
- 압축해제 후 setup 실행
- MXEⅢ starter Edition[Free]
- library 선택시 Full Verilog 선택
- 계속 설치 후 license request를 물으면 yes로 선택
- yes를 선택하면 자동으로 xilinx홈페이지로 이동. license받기를 선택하면 등록된 이메일로 license 도착

- 이메일에서 라이센스 파일 다운로드


- (윈도우)시작 -> modelsim -> Licensing wizard 선택
- license 파일 저장한 경로를 설정한후 license등록
- modelsim 시작하면 설치 완료
hipsa-cs-2005-103: ModelSim XE

5. Modelsim 활용(xor게이트를 설계, 시뮬레이션 예제)

- File -> New -> project 선택 -> project name 입력

- Create New File 아이콘 클릭

- 파일이름 입력, add file as type는 verilog선택

- 생성된 파일을 우클릭 -> edit선택


hipsa-cs-2005-103: ModelSim XE

- edit창에 code 입력

- 다시 파일 우클릭 -> 컴파일 -> compile selected 선택


hipsa-cs-2005-103: ModelSim XE

- 컴파일 완성(하단부 transcript창에 컴파일 결과 나옴)

(시뮬레이션)
- 상단 시뮬레이션 아이콘 클릭 (simulate메뉴에서 start simulation)

- work메뉴중 testbench 메뉴 선택
hipsa-cs-2005-103: ModelSim XE

- 시뮬레이션 창이 뜨면 testbench 선택후 add-> to wave -> all items in region 선택

- waveform창 나타남
- run실행시 파형의 변화 관찰됨.

Você também pode gostar