Você está na página 1de 28

REPUBLICA BOLIVARIANA DE VENEZUELA

MINISTERIO DEL PODER POPULAR PARA LA EDUCACION UNIVERSITARIA, CIENCIA Y TECNOLOGÍA


UNIVERSIDAD CENTRAL DE VENEZUELA
FACULTAD DE CIENCIAS
POSTGRADO EN INSTRUMENTACIÓN

TITULO
Softwares de simulación usados en la construcción de
Prototipo didáctico para enseñar Electrónica Digital usando FPGA

Realizado por: Pedro Landaeta


https://www.linkedin.com/in/pedro-landaeta-17a7bb60/
landaetabiz@gmail.com

Enero 2019
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

INDICE
TITULO ..................................................................................................................................................... i
INDICE ..................................................................................................................................................... ii
Introducción .............................................................................................................................................. 1
QUARTUS II ............................................................................................................................................ 3
Quartus II Web Edition 13.0 sp1 ..........................................................................................................................3
Familia Cyclone II ..................................................................................................................................................3
Instalación y licenciamiento del Software. ...........................................................................................................3
SOFTWAREs PARA TRABAJAR CON VHDL, LÓGICA DIGITAL Y PLDs ................................... 8
Lo Nuevo ............................................................................................................................................................ 12
Descripción General ........................................................................................................................ 12
Diagrama de Estado ................................................................................................................................ 13
Análisis y diseño de sistemas digitales Usando el Software Boole-Deusto ....................................................... 14
SIMULACIÓN DE FPGA - VHDL USANDO PROTEUS ................................................................... 16
LENGUAJE VHDL USANDO ispLEVER Classic de LATTICE ................................................. 17
¿POR QUÉ DESCARGAR ispLEVER CLASSIC? ....................................................................... 17
¿POR QUÉ CYCLONE II ? Y OTRAS CONSIDERACIONES .......................................................... 23
Hoja de Ruta............................................................................................................................................ 25

ii
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

Introducción
Con el avance de la tecnología en la simulación de circuitos electrónicos es necesario ahondar en el
estudio de estos softwares, no perdiendo el objetivo de aprender más sobre los sistemas digitales. Esta
guía presenta los softwares usados hasta ahora en la elaboración de todas las investigaciones entregadas
por mí en Linkedin y Scribd que dan apoyo a lógica digital, electrónica digital y VHDL usando
dispositivos FPGAs y PLDs.
La figura donde se ve una mesa y sus cuatro patas refleja la idea del proyecto que a continuación les
explico: 1) Lo que está sobre la mesa es el proyecto como tal que se va a realizar, que lleva por nombre
DESARROLLO DE EQUIPO DIDÁCTICO BASADO EN LA TECNOLOGÍA FPGA PARA LA
ENSEÑANZA DE ELECTRÓNICA DIGITAL. Éste se va a entregar en hardware (puede verlo al final
del documento en la figura 30 y la 31) para optar al título de Magister Scientiarum MSc en la
Universidad Central de Venezuela (UCV) – Caracas - Venezuela. Las patas son las que sostienen la
mesa y por ende son las que sostienen el proyecto porque necesitamos contenido teórico, buenos
basamentos y una sustentación a nivel de educación que le dé solidez al equipo como tal.

Dibujo que refleja el contenido en que se apoya el proyecto planteado

2) La pata nombrada Electrónica Digital es la referida al contenido que regirá al proyecto desde el
inicio hasta el fin. Se recomienda el siguiente libro de lógica digital de la serie SCHAUM cuyo autor
es Roger Tokheim – Titulo Principios Digitales publicado en 1988. Muy completo para entender todo
lo básico de digitales: Lo correspondiente a sistemas de numeración, compuertas, circuitos que
combinan varias compuertas, Decodificadores, Multiplexores y además posee teoría y práctica de
circuitos secuenciales.
Este símbolo debajo de Electrónica Digital indica que el tema que está dentro de él se
está usando para resolver el trabajo como tal sin resaltar en el temario principal ni en el
título del problema a tratar. Por ejemplo, en esta pata es Simulación. Resaltando que hay que usar
algún software para hacer las simulaciones correspondientes para verificar el circuito electrónico en
estudio. En cada pata se repite pero con otras palabras claves

1
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

3) La pata nombrada Educación basada en Proyectos es la referida al contenido Práctico que regirá al
proyecto desde el inicio hasta el fin. Se van a entregar dos paquetes de prácticas para ser montadas en
laboratorio relacionadas con Lógica Digital y FPGA – VHDL.
Hace falta usar softwares de apoyo para que el estudiante llegue al momento de
implementar las experiencias prácticas con una preparación teórico – Práctica tal que
aproveche al máximo el tiempo de laboratorio.

4) La pata nombrada Educación se refiere a la necesidad de que los docentes entren al mundo 2.0.
Hace falta la Virtualización, en este caso de la educación. Uso de Moodle y otras
herramientas softwares para estudios a distancia harán que la actividad docente entre en
otro rumbo. Un camino distinto y que con toda seguridad repercutirá favorablemente en la formación
del estudiantado.

5) La pata nombrada FPGA (INTEL) – VHDL (QUARTUS) se refiere a todo lo relacionado con estos
temas que serán tratados en esta investigación. Uso del lenguaje de Descripción de Hardware VHDL
usando el software QUARTUS II de la empresa INTEL. El FPGA que se usará es uno de gama baja
CYCLONE II, y es más que suficiente para estudiar todo lo que se desea en esta parte básica.
El software especializado que se usará es el QUARTUS II 13.0 web edition. Es de uso
gratuito y sin limitaciones de tiempo.

Estas dos ideas que están alrededor de la mesa son las relacionadas al software y hardware
libre. En esta investigación se hace mención a estos dos aspectos. Y se resalta que aquí lo que
tiene verdadero valor es el software usado para hacer la compilación y la síntesis de la
descripción en HDL. En el texto se profundiza más en este aspecto.

2
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

QUARTUS II
Quartus II Web Edition 13.0 sp1. La Edición Web es una versión gratuita de Quartus II que
puede ser descargada o enviada gratuitamente por correo. Esta edición permite la compilación y la
descripción de un número limitado de dispositivos Altera.
La familia de FPGAs de bajo coste Cyclone, está soportada por esta edición, por lo que los pequeños
desarrolladores no tendrán problemas por el coste del desarrollo de software.
Se requiere un registro de licencia para utilizar la Edición Web de Quartus II, la cual es gratuita y
puede ser renovada ilimitadamente.
Un punto muy importante es la versión, ya que físicamente poseen dispositivos EPM3032ATC10-7,
EPM3064ATC10-7 y EPM240T100C5N es necesario utilizar una versión de software que le brinde
soporte a las familia EP2C5T144C6, ya que la versión 14.1 no brinda dicho soporte se utilizara la
versión 13.0 web edition service pack 1.
Quartus II necesita una herramienta aparte que no vienen incluida dentro del programa principal, que
sirve como interfaz entre el software y el equipo programador, su nombre es Quartus programmer y
debe estar acorde con la versión del software, esta herramienta permite la configuración del
programador y es la encargada de la descarga de datos hacia el dispositivo físico.

Familia Cyclone II
Los dispositivos Cyclone II EP2C5T144C6 son de bajo costo y alto rendimiento basados en la
arquitectura Cyclone de Altera. Son fabricados con tecnología avanzada CMOS, operan con 3.3v.
Proveen entre 4000 a 20000 compuertas utilizables y contadores de velocidad superiores a 227.3 MHz.
Son totalmente compatibles con lógica TTL.

Figura 1.- Distribución de pines para la FPGA seleccionada.

Se utilizara el FPGA cyclone II EP2C5T144C6.

Instalación y licenciamiento del Software.


Para la descarga del software lo podemos buscar dentro de la página oficial de Altera:
www.altera.com

Se recomienda entrar a este sitio https://www.altera.com/download/sw/dnl-sw-index.jsp siempre de


la página de Altera y hacer clic para seleccionar la versión basándose en dispositivos, tal como lo
muestra la imagen en la siguiente página.

3
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

Dando clic en esta dirección se llega a la zona de descarga del software quartus ii 13.0 web edition
http://fpgasoftware.intel.com/13.0sp1/?edition=web

Figura 2.- Pantalla de búsqueda del software adecuado.

Luego de dar clic a la versión, se llegara a la siguiente página en donde se debe descargar los archivos
marcados.

Figura 3.- Página Principal de descarga.

Todo esto tendrá un peso de 1.6 GB aproximado, pero se debe tener libre por lo menos 6 GB en disco
duro para completar la instalación de forma satisfactoria.

Una ventaja significativa es que esta versión no necesita un archivo de licencia.


4
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

Figura 4.- Licencias.

Una vez se tienen los archivos se ejecuta primero el archivo QuartusSetupWeb-version.exe Se


desplegará el asistente de instalación.

Figura 5.- Asistente de instalación.

5
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

Los siguientes pasos son similares a cualquier programa en Windows, aceptar los términos y
condiciones, seleccionar la carpeta de instalación (recordar esta dirección ya que posteriormente se
utiliza).

Figura 6.- Términos y condiciones.

Figura 7.- Carpeta de instalación.

6
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

Una vez finalizada la instalación el programa estará listo para ser utilizado; en la ventana principal se
despliega un asistente para la ejecución de un nuevo proyecto, esa sección será descrita posteriormente.

Figura 8.- Pantalla inicial Quartus II.

7
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

SOFTWAREs PARA TRABAJAR CON VHDL, LÓGICA DIGITAL Y PLDs


Con respecto a la programación del FPGA, a medida que avanza esta investigación se comienza a ver
que hay varias maneras de hacer los programas en
VHDL……..o hablando con mayor exactitud las
descripciones en VHDL. Por ejemplo en el caso del
BCD a 7 seg existen por lo menos 2 formas que se
muestran aquí usando with select y usando
process con if . Para efectos de la simulación con
Quartus II es indiferente la forma en que se haga.
Pero, si se va a usar el software TINA se debe
elegir process con if ya que de esta forma se
definen pin a pin entradas BCD y salidas al 7
seg. Mientras que con with select se definen las
entradas y salidas como vectores y esto hace que
TINA vea una sola entrada y una sola salida.
TINA es un software de simulación de circuitos
eléctricos y electrónicos que adicionalmente
permite, usando MACROS, simular los códigos
hechos en VHDL con extensiones .vhd. Este
software es fabricado por la empresa DesignSoft
(http://www.designsoftware.com/home/demos/de
mo_tina )

y es el que usa la empresa Texas Instruments (www.ti.com ) para probar sus componentes. Para efectos
de Quartus II no hay inconveniente porque usando Pin Planner se ve claramente que
se pueden asignar los 4 pines del BCD y los 7 pines para el display.
Para terminar esta parte se recomienda este video donde se observa paso a paso como se trabaja con el
software TINA…..en este caso el TINA 8  https://www.youtube.com/watch?v=joY7vOD9Gww

Aviso de la empresa Design soft de tiempo de


utilización del software TINA en la versión DEMO,
ver figura 10.

Figura 10.- Información de TINA Demo

8
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

Tomando en cuenta que TINA es un software propietario, se hizo una búsqueda de software’s de
simulación de circuitos electrónicos discretos y digitales que fueran de código abierto o gratis. En
primer lugar se encontró a EasyEda . Tiene como características que es gratuito y se trabaja desde la
nube. El interesado debe registrarse para comenzar a trabajar con él.

Figura 11.- Ventana de identificación del software Easy EDA

Otro software, en éste caso Libre que se puede


usar para simulación, exclusivamente de Lógica
Digital es el conocido como Logisim.
Logisim es una herramienta educativa de libre
distribución (free – ware) para el diseño y
simulación de circuitos lógicos digitales. Dispone
de una sencilla interfaz, y las herramientas son lo
suficientemente simples como para facilitar el
aprendizaje de los conceptos más básicos
relacionados con los circuitos lógicos. Este
programa tiene la capacidad de permitir construir
circuitos más grandes a partir de circuitos más
Figura 12.- Ventana de identificación del pequeños. Logisim se puede usar para diseñar y
software LOGISIM simular circuitos con fines educativos.

Debido a limitaciones del software LOGISIM


para simular el Flip – Flop JK tuve que buscar
otro software. En este caso el Logic Gate
Simulator. El software LOGISIM se quedaba
oscilando y bloqueaba el circuito Flip – Flop JK
Construido con compuertas NOR en la condición
J = K = 1. Como se sabe, esta es una condición

9
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

de salida Q  Toggle (que cambia de estado con cada flanco de reloj) y este software no pudo
resolverla. De repente si algún conocedor con mayor experiencia en LOGISIM lee este trabajo podría
ayudarme a saber como resolver esta situación. Este es mi correo landaetabiz@gmail.com . Este Otro
software, Logic Gate Simulator de filosofía Libre que se puede usar para simulación exclusivamente
de compuertas lógicas se usó para resolver el problema del Flip – Flop JK usando compuertas lógicas y
los resultados fueron FAVORABLES. Cumplió con la tabla de la verdad del Flip – Flop JK y, para el
usuario le resulta muy rápido para ambientarse en el software y también rápido lo que respecta conocer
su funcionamiento.

Logic Gate Simulator es una herramienta open-source para experimentar con él y aprender acerca de
compuertas lógicas. La herramienta de simulación fue originalmente diseñada para estudiantes de CIS
(Computers Information Systems) en South Puget Sound Community College (Ubicado en el estado
de Washington, USA) pero es libre para el que lo quiera usar y modificar bajo la licencia GPL v3.

La Licencia Pública General de GNU o más conocida por su nombre en inglés GNU General Public
License (o simplemente sus siglas en inglés GNU GPL) es una licencia de derecho de autor
ampliamente usada en el mundo del software libre y código abierto, y garantiza a los usuarios finales
(personas, organizaciones, compañías) la libertad de usar, estudiar, compartir (copiar) y modificar el
software. Su propósito es doble: declarar que el software cubierto por esta licencia es libre, y protegerlo
(mediante una práctica conocida como copyleft) de intentos de apropiación que restrinjan esas
libertades a nuevos usuarios cada vez que la obra es distribuida, modificada o ampliada. Esta licencia
fue creada originalmente por Richard Stallman fundador de la Free Software Foundation (FSF) para el
proyecto GNU.
Logic Gate Simulator está escrito en C#/WPF usando .NET 4

Para cerrar en esta investigación lo correspondiente a softwares, Se les presenta una versión DEMO
de PROTEUS y servirá para chequear lo que quede pendiente de los Flip – Flops a nivel de circuito
integrado (IC) y así tener otra perspectiva de los circuitos secuenciales. La misma se llama
Proteus Professional Demostration
Esta opción se buscó porque hay limitaciones para
probar a nivel de IC el Flip – Flop JK con los
softwares LOGISIM y Logic Gate Simulators.
En lo que respecta a TINA DEMO debo
estudiarlo más porque no logro que los montajes
donde realizo la MACRO y le grabo la
descripción en VHDL me corran como debe ser.
Aclaro y repito, soy yo el que necesita conocer
más el software TINA. Para próximas
investigaciones le dedicaré más tiempo.

Figura 14.- Ventana de identificación del software


PROTEUS Demostration
10
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

Por otro lado, el PROTEUS Professional Demostration está pensado para futuros clientes quienes
elijan evaluar los productos LABCENTER a nivel profesional. Esta versión incluye todas las
características ofrecidas por el sistema profesional incluyendo diseño de PCB basado en netlist con
auto-colocación de componentes, auto.ruteo y simulación basada en gráficas. Descargue esta versión
gratis y con limitaciones en la página del fabricante https://www.labcenter.com/downloads/

Las características de la versión demostration son las siguientes


 Tiempo de prueba ILIMITADO
 Extenso conjunto de diseños de muestras incluidas para ayudarte a evaluar todos los aspectos
del software
 Puedes escribir tu propio software para correrlo en diseños de muestras existentes para
propósitos de evaluación
 Puedes solamente imprimir diseños de muestras de Layouts y esquemáticos
 No puedes salvar tu trabajo
 No puedes simular tus propios diseños de microcontroladores

11
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

Lo Nuevo
En esta ocasión se presenta el Simulador de Construcción de Circuitos Digitales con Escenarios
Virtuales y Tutoriales Interactivos
 http://www.tourdigital.net/SimuladorTTLconEscenarios.htm
 http://www3.gobiernodecanarias.org/medusa/ecoescuela/recursosdigitales/2014/11/12/simulado
r-de-construccion-de-circuitos-digitales/

Descripción General
El Simulador de
Construcción de Circuitos
Digitales con Escenarios
Virtuales y Tutoriales
Interactivos es un
programa para construir
circuitos digitales sobre un
módulo digital virtual a
partir de modelos lógicos
de circuitos integrados
estándares (familia TTL
LS) y de aplicación
específica (ASIC).

Figura 15.- Ventana del software Simulador TTL con Escenarios

Muchos de los circuitos lógicos típicos incluyen descripciones VHDL. Este software ha sido
diseñado para circuitos que pueden ser simulados en el módulo digital directamente y en algunos casos
pueden ser validados con Escenarios Virtuales que representan al ambiente donde los circuitos
operarán. Además, los circuitos hechos pueden ser almacenados, recuperados y editados. El programa
también provee Tutoriales Interactivos de algunos que pueden ser empleados como una herramienta de
enseñanza y aprendizaje del diseño digital y actualmente está orientado a cursos básicos o de
introducción a los circuitos digitales, tanto en el nivel escolar como universitario. El programa se
ejecuta en MS Windows con una resolución de pantalla de al menos 1024 x 768. Las versiones 0.9.5 y
0.9.7 del programa son gratuitas, de copia y uso libre.
Autor: Ing. Arturo Javier Miguel de Priego Paz Soldán. Diseñador y realizador del software
descrito anteriormente. Aquí están sus datos de contacto:
Email: arturo@tourdigital.net Teléfono: (+51) 987207696
Chincha – Perú
17 de abril de 2018

12
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

Diagrama de Estado: Este muestra la secuencia de estados por los que pasa bien un caso de uso,
un objeto a lo largo de su vida, o bien todo el sistema. Es una forma de representación gráfica más
intuitiva de los autómatas finitos basadas en dígrafos con arcos acotados llamados transiciones en los
cuales se ponen los símbolos de tránsito entre un vértice (estado) y otro y se identifican los estados de
partida y los de aceptación del resto. Los diagramas de estados finitos son también representaciones
más cómodas para su elaboración, legibilidad y comprensión de distintos tipos de abstracciones
computacionales de reconocimiento
como los autómatas de pila y las
máquinas de Turing.
Páginas web de referencias
https://www.ecured.cu/Diagrama_de
_estado

https://es.slideshare.net/faurbano/dis
eo-de-circuitos-secuenciales

La figura 16 se explica por sí sola,


y corresponde a un circuito
secuencial. No debe confundirse los
diagramas de estados que se quieren
estudiar en esta guía y en las
venideras con los diagramas de estado correspondiente a UML. Tienen el mismo nombre pero su
aplicación y forma tienen otro rumbo y finalidad.

A continuación la figura 17 presenta la ventana de inicio del software BOOLE_DEUSTO.


Especializado en lógica digital combinatoria y secuencial y, adicionalmente con posibilidad de aplicar
Máquinas de Estado Finito (FSM Finite State Machine, por sus siglas en Inglés)

Figura 17.- Software Educativo BOOLE-DEUSTO para lógica digital


https://boole-deusto.soft112.com/modal-download.html

13
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

Análisis y diseño de sistemas digitales Usando el Software Boole-Deusto

Escrito por José Carlos Bethencourt Gutiérrez el 12 febrero, 2015. Posteado en 17. Tecnología,
17.10.Electrónica (4º ESO), 30. Tecnología Industrial I y II, 30.7. Sistemas automáticos (Tecnología Industrial
II), 30.9. Control y programación de sistemas automáticos (Tecnología Industrial II), III - ESO, IV - Bachillerato

Boole-Deusto es una herramienta de software educativo para la


electrónica digital, ver figura 17. Se puede trabajar con circuitos
combinacionales y circuitos secuenciales, pero siempre a nivel de bit. A
diferencia de otras herramientas avanzadas, Boole-Deusto está
especialmente orientado para los estudiantes, de forma que les permita
realizar el diseño de un circuito digital paso a paso, realizar los
correspondientes Mapas de Karnaugh y la posterior simplificación
lógica.

Proyecto realizado en la Universidad de DEUSTO en España

Link que te lleva a la página web del gobierno de Canarias donde


informan que es el software Boole Deusto y donde y quienes lo usan
http://www3.gobiernodecanarias.org/medusa/ecoescuela/recursosdigitales/2015/02/12/analisis-y-
diseno-de-sistemas-digitales-boole-deusto/

Página web de la Universidad de DEUSTO


https://www.deusto.es/cs/Satellite/deusto/es/universidad-deusto
La Universidad de Deusto, ver figura 18 para su ubicación por Google Map, es una universidad privada
regida por la Compañía de Jesús, con dos campus, uno en el distrito de Deusto de la ciudad de Bilbao,
y otro en San Sebastián, País Vasco, además de una sede en Madrid. Actualmente su rector es José
María Guibert Ucín.

Figura 18.- Ubicación de la Universidad de DEUSTO

14
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

Ejemplo de aplicación del software BOOLE DEUSTO, la figura 19 muestra la imagen inicial del video
donde sale la aplicación del software, la aplicación hace énfasis en Diagrama de Estados.
En la siguiente dirección web encuentras el video
https://www.youtube.com/watch?v=kgWeekmlrbw

Figura 19.- Aplicación del software Boole Deusto en youtube

Esta es la primera parte que se ve en el video. Se tratan aquí los temas de Diagrama de Estados, Mapas
de Karnaugh, Flip Flop JK y, se resalta aquí el uso del software Boole Deusto y PROTEUS.

15
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

SIMULACIÓN DE FPGA - VHDL USANDO PROTEUS

En PROTEUS se dispone solo de PLD (Programmable Logic Device)


A programmable logic device (PLD) is an electronic component
used to build reconfigurable digital circuits. Unlike a logic gate, which
has a fixed function, a PLD has an undefined function at the time of
manufacture. Before the PLD can be used in a circuit it must be
programmed, that is, reconfigured.
https://en.wikipedia.org/wiki/Programmable_logic_device

Figura 20.- PLDs disponibles en la versión de PROTEUS Demostration

¿Por qué trabajar con PLD?


Parece un retroceso, ya que se viene haciendo la investigación
usando FPGA……¿a qué se debe entonces ir a los PLDs?
Como el título al comienzo de la página dice se va a trabajar
con PROTEUS y este software acepta, por lo menos la versión
DEMO que yo poseo, solamente PLD. Sencillamente por eso,
no hay una explicación más elaborada y profunda que esa.
La figura 21 muestra unos IC GAL (Generic Array Logic)
En español Arreglo Lógico Genérico, es un
tipo de circuito integrado, de marca registrada
por Lattice Semiconductor, que ha sido
diseñado con el propósito de sustituir a la
mayoría de las PAL, manteniendo la
compatibilidad de sus terminales.

http://electronicaintegradaunexpo.blogspot.com/2008/02/gal-y-vhdl.html

16
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

LENGUAJE VHDL USANDO ispLEVER Classic de LATTICE


Lo primero es ir a la página oficial del fabricante
https://www.latticesemi.com/ . Página principal de LATTICE Semiconductors fabricante del software
ispLEVER

dirección web que te lleva directamente al software ispLEVER


http://www.latticesemi.com/Products/DesignSoftwareAndIP/FPGAandLDS/ispLEVERClassic
entrando en esta página llega a la siguiente información,

donde puede verse ispLEVER Classic 2.0 Base Module. Haga clic en la flechita al lado del nombre y
comenzará el proceso de descarga. La misma página te da los pasos para el proceso de instalación del
software

Las siguientes direcciones web corresponden a un video que explica todo el procedimiento de descarga
e instalación de ispLEVER Classic https://www.youtube.com/watch?v=VzBwXi2aFZw y
https://www.youtube.com/watch?v=nsRFvWEDhnU

¿POR QUÉ DESCARGAR ispLEVER CLASSIC?


Este es un software para describir circuitos en VHDL al igual que el QUARTUS II de
INTEL…..¿qué diferencia existe entre ambos?....que el ispLEVER entrega una extensión .jed que
sirve para ser cargada en el PLD que PROTEUS proporciona

Icono que quedará en el Computador luego de ser instalado el


software

17
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

Al dar doble clic sobre el icono anterior se cae en esta ventana de inicio o de trabajo del programa

Para encontrar tutoriales en la propia página del fabricante colocamos lo que queremos saber en el sitio
señalado abajo en la figura.
También en google encontraras toda la información de diversos autores

18
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

Con lo estudiado y revisado según los consejos dados en la página anterior ya se puede comenzar a
trabajar en lo que nos interesa. Lenguaje VHDL aplicados a las GAL 22v10

Figura 22.- Descripción de la compuerta OR usando ispLEVER de LATTICE

La descripción hecha en la figura 22 corresponde a un circuito elemental de una compuerta lógica


OR. Bueno, lo que se pretende probar es que la GAL 22v10 se puede transformar en esta compuerta
simplemente haciendo una codificación tal como se muestra en la figura 22. Las GAL son PLD
reconfigurables o reprogramables teniendo la ventaja entonces que si ya estudié la compuerta OR,
ahora puedo montar el circuito de otra compuerta y probarlo en PROTEUS, que es el fin último que se
quiere explicar en esta guía.

19
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

Figura 23.- Asignación de pines usando Chip Report en el software ispLEVER de LATTICE

El isp LEVER te da el reporte de pines de entradas y salidas para el montaje en hardware y virtual

Figura 24.- Simulación en PROTEUS de la PLD Reprogramable GAL 22v10

Montaje en PROTEUS para hacer el circuito en cuestión. Hace falta grabar el archivo .jed generado
por ispLEVER. Dando doble clic en el dispositivo AM22v10….que es el mismo GAL 22v10. Al
hacer esto se cae en la figura 25
20
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

Se da clic en la carpeta que tiene a su izquierda las palabras JEDEC Fuse Map File:

Figura 25.- Ventana para asignar el archivo .jed al dispositivo PLD

Aparece la siguiente ventana donde buscará la carpeta donde guardó su archivo con ispLEVER y ubica
su archivo .jed….dando doble clic lo asigna a su dispositivo GAL 22v10

Figura 26.- Carpeta elegida por el diseñador para guardar el archivo .jed
21
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

Figura 27.- Uno de los modelos de grabadora universal para colocar el archivo VHDL en la GAL

La figura 27 muestra un modelo de grabadora universal que podría servir para grabar la descripción
hecha en ispLEVER a la GAL 22v10 en hardware.

22
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

¿POR QUÉ CYCLONE II ? Y OTRAS CONSIDERACIONES


Entre los software HDL además del VHDL existen también: VERILOG, SYSTEMC,winCUPL de
ATMEL (Comprada por MICROCHIP Technology), ispLEVER de LATTICE, ABEL que es ahora
propiedad de XILINX, GALAXY IDE de cypress warp y otros que a medida que siga ahondando en
la investigación se irán mencionando.
Página donde se explican los diferentes lenguajes HDL existentes
http://www.todopic.com.ar/foros/index.php?topic=34107.0
página donde se informa sobre la venta de ATMEL
https://www.itespresso.es/atmel-se-decanta-por-la-oferta-de-compra-de-microchip-frente-a-la-de-
dialog-146901.html?inf_by=5c4d182c671db8ce618b53d0
Como la idea de la investigación siempre fue desde sus inicios mostrar un producto concreto que
viene a ser el módulo para enseñar Electrónica Digital usando FPGA no se pensó nunca en hacer un
aporte al software o al Hardware libre en FPGA ya que por sí sola la tecnología FPGA es complicada,
introducirse en el camino de lo libre es un proyecto de grado aparte. Entonces el objetivo inicial era
entender lo básico de la tecnología FPGA y proponer un módulo donde pudiera estudiarse Electrónica
Digital y también conocer el software con el que se describen los circuitos, así se habla en FPGA-
VHDL …..DESCRIPCIÓN NO PROGRAMACIÓN, los circuitos a simular, hacer unos programas
básicos y fijar un paquete de prácticas que tuviera como contenido Lógica Combinatoria y Lógica
Secuencial acompañado de todo lo relacionado a FPGA: Arquitectura, VHDL y montaje práctico de
cada propuesta usando la tarjeta Cyclone II de INTEL FPGA  Antigua ALTERA.
Otro factor importante fue el económico, la tarjeta que terminó comprándose fue la Cyclone II con
un precio mucho menor que las del proyecto FPGA wars de tecnología libre que tienen un precio un
poco más de 60 €. Resumiendo entonces, se decidió por la tarjeta Cyclone II por las razones ya
explicadas, esto implica que hay que usar el software Quartus II. En nuestro caso la versión 13.0 web
edition sp1,
http://fpgasoftware.intel.com/13.0sp1/?edition=web&platform=windows&download_manager=dlm3
página para descargar el software QUARTUS II 13.0 sp1 web edition, que es la versión gratuita de
Quartus, y trabajar con VHDL. No se usó VERILOG porque como siempre explico, estaba nuevo en
el tema y lo que fui viendo y encontrando en INTERNET fue lo que comencé a estudiar. Yo arranque
de cero este proyecto, y al comienzo pensé que solamente existía VHDL.
¿Qué si hay otros fabricantes de FPGA?  Por supuesto que sí: XILINX, LATTICE, MICROSEMI,
MICROCHIP, Quick Logic Corp, Anadigm y Leopard Logic inc.
¿hay otros software’s que no sea el Quartus II?  Sí. Pero al elegir la tarjeta hay que trabajar con el
software que la empresa fabricante ofrece para su FPGA. Por ejemplo XILINX ofrece ISE y
VIVADO design Suite….los dos son pagos. Existe ISE web PACk que es gratis; hay un caso
particular con Active HDL que genera el .vhd que se puede usar en cualquier chip FPGA pero al final
hace falta el software de la empresa particular para asignar ese software al FPGA y grabar ese
programa en el IC. En las versiones libres se tiene VERILOG y myhdl del ecosistema Python. Estas
versiones libres como se dijo arriba necesitan una estructura Software Libre (SL) y Hardware Libre
(HL) para cerrar el ciclo del proyecto. Porque no es nada más programar en VERILOG, se puede hacer
también desde Quartus II y éste proviene de una empresa que NO trabaja con SL y HL. Ahí está el
detalle y es donde lo libre no lo es tanto porque igual hay que hacer una inversión inicial de unos
23
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

cuantos Dólares (USD). Luego de ese gasto inicial es donde vienen las libertades de uso, Estudio,
distribución y modificación. Pero como dije más arriba, no es el tema central de ésta investigación
porque nada más conocer la estructura de la nueva tarjeta con un chip distinto al que he usado ya que la
mayoría de HL usa IC FPGA de la empresa LATTICE y después conocer los softwares asociados a ella
para cerrar el ciclo del proyecto, repito ese es otro proyecto de grado.
El SL y HL en FPGA ha tenido una historia de luchas desde el momento que salen estas al
mercado a mediado de los 80’s. Hay investigadores buscando la independencia desde esos años hasta
que en 2015 han tenido un resultado más favorable que los ha llevado a afirmar que tienen lo que ellos
llaman un Tool-Chain completo para cerrar un proyecto con FPGA que no es más que una cadena de
software’s y hardware para poder implementar un proyecto que sea modificable, distribuible y de libre
uso y estudio. No estoy en contra de lo libre, pero no puedo perder el norte de la investigación que
estoy presentando que es un módulo para la enseñanza de electrónica digital usando FPGA. No puedo
perder ese norte, ¿por qué el énfasis?  porque trabajar en versión SL y HL es otra filosofía y me
sacaría del camino en el que estoy trabajando. Vean, el software HDL VERILOG es libre…..sí, sí lo
es pero necesita una herramienta software para sintetizar el hardware que se está describiendo. De
hecho, QUARTUS II de INTEL FPGA tiene la opción para trabajar con VERILOG……….pero
Quartus se encarga de sintetizar el hardware que se está describiendo que significa generar el RTL,
asignar los pines en la opción PinPlanner, informar que pines son entradas y cuales salidas.

Y luego de la compilación arroja un informe con todos


los detalles del hardware descrito, ver figura 28 y 29.
La buena noticia es que hay gente trabajando en eso
y han logrado cerrar ya todo el ciclo. Iniciando con el
software Icarus VERILOG, luego pasan al visualizador
de señales GtkWave, Sintetizan la descripción usando
Yosys y hacen el place & route con ARACHNE – PNR.
Con todo eso tienen entonces el proyecto listo. Este es
el sistema de desarrollo planteado por la gente del
proyecto IceStorm liderizado por Clifford Wolf.
En lo que respecta a Hardware hay varias opciones
pero la más popular es la que usa el FPGA de LATTICE
que está ubicada en el proyecto ICESTORM. Hay
proyectos de FPGA Libre, usando el mismo FPGA de
LATTICE, en Argentina  FPGALibre y en España 
FPGAWars.
Hay otro detalle y es el financiamiento
Figura 28.- Pasos necesarios que deben cumplirse
para La compilación de un programa en HDL inicial para comprar las tarjetas FPGA y así
usando el software QUARTUS II de INTEL FPGA no dejar el proyecto solamente en simulación.
Estas tarjetas libres van de 20 USD a 80

24
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

Figura 29.- Resumen total suministrado por el software después de realizar la compilación

USD. Eso sí, son muy completas como Kit de desarrollo. En conclusión, es buena idea trabajar con SL
y HL pero para esta investigación quedará como un aporte teórico a esa rama de estudio de la
tecnología FPGA. Ya que mi meta es la construcción del módulo para la enseñanza de electrónica
digital usando FPGA quedará la mención de las FPGAs Libres como propuesta de futuro proyecto de
grado.
Esta investigación tiene como objetivo entregar un prototipo de módulo para enseñar Electrónica
Digital usando la tecnología FPGA tal como se muestra en la figura 30 y la 31.

Figura 30.- Módulo propuesto vista de lado Figura 31.- Vista superior del Módulo

Hoja de Ruta
Este diagrama de la figura 32 es el
que define mi hoja de ruta para saber
hacia donde voy. En el centro el
módulo para la enseñanza, a su lado
rodeándola los bloques de Educación,
FPGA, Electrónica Digital y
Máquinas de Estado Finito. Todas
ellas podrían ramificarse hacia otros
temas pero, si sabemos que vamos es

25
Hecho por el Prof Pedro Landaeta. Prof Jubilado de la UPT de Aragua y Aspirante a MSc en la
UCV Caracas - Venezuela. Material Introductorio de FPGA, Enero 2019

por la construcción del módulo no hay problema. Por ejemplo en el caso que nos toca la FPGA se
puede dividir en Libres y Propietarios.

Hay todo un mundo en los dos sub bloques, ¿pero nos vamos a quedar ahondando en esos
temas?....NO. Se tomará de ellos lo que nos haga falta y seguimos enfocados en la construcción del
módulo.

Esto se une con el bloque de EDUCACIÓN……..lo que nos lleva a la educación tecnológica, que es el
tipo de investigación con la que estoy trabajando en este proyecto, ahí entran los proyectos
tecnológicos y la investigación del tipo tecnológica. Que por llamarse así no se desmerita.
El bloque de Máquinas de Estado Finito lo que me dice es hasta donde voy a llegar con la
investigación. Y el de Electrónica Digital informa sobre el tema central de trabajo compuesto por
lógica combinatoria y la lógica secuencial que se está tratando ahora.

Y un bloque que no se ve, no se muestra pero está ahí, es el de los softwares que se usan para simular
todas las experiencias que se desean comprobar. Y cada ramal de la figura 32 está unido
armoniosamente con la simulación. De hecho, la nueva educación de avanzada debe ir enfilada al uso
de lo virtual para entender mucho más rápido los sistemas. Todos los softwares mostrados y nombrados
aquí en este trabajo les dan un aviso al docente que se rehúsa a entrar al mundo de la virtualización que
ya es hora de dejar los viejos métodos y que necesariamente debe comenzar a trabajar en sus cursos con
algún tipo de software.

Espero con esto haber aclarado unas cuantas dudas sobre la tecnología FPGA y lo que toca a la
investigación como es Electrónica Digital y así apoyar a los que quieren iniciarse en ésta línea de
trabajo tal como yo lo estoy haciendo.

Seguimos en contacto landaetabiz@gmail.com Ing Pedro Landaeta


LinkedIn https://www.linkedin.com/in/pedro-landaeta-17a7bb60/ Prof Jubilado con más de 25 años de
servicio en la Universidad Politécnica Territorial del Estado Aragua “FBF”

26

Você também pode gostar