Você está na página 1de 25

INGENIERÍA EN MECATRÓNICA ÁREA

AUTOMATIZACIÓN

PROGRAMACIÓN COMPUERTAS LOGICAS (PLD)

REPORTE COMPORTAMIENTO DE COMPUERTAS.

NOMBRE: SANDRA GUADALUPE GUZMÁN PUENTE.


MATRICULA: 10145.
PROFESOR: ING. FERNANDO EDUARDO JUÁREZ HERNÁNDEZ.

SANTA CATARINA, N.L., SEPTIEMBRE 2018


DEDICATORIA

A los maestros que me impartieron esta materia.


Mis compañeros de carrera que me apoyaron para realizar el desarrollo de este
reporte, ya que somos unidos y entre unos y otros nos damos la mano en
situaciones que se nos dificulta.

I
AGRADECIMIENTOS
A mis amigos de carrera por brindarme la ayuda que en ocasiones se necesita.
Agradezco mucho por la ayuda de mis maestros, mis compañeros y a la
universidad en general por todo lo anterior, Gracias por los conocimientos que me
han otorgado.

II
Tabla de contenido
Escribir el título del capítulo (nivel 1) ............................................................................................. 1
Escribir el título del capítulo (nivel 2) .......................................................................................... 2
Escribir el título del capítulo (nivel 3) ...................................................................................... 3
Escribir el título del capítulo (nivel 1) ............................................................................................. 4
Escribir el título del capítulo (nivel 2) .......................................................................................... 5
Escribir el título del capítulo (nivel 3) ...................................................................................... 6

III
IV
INTRODUCCIÓN

A lo largo de este desarrollo de reporte estaremos describiendo el comportamiento


que tiene el GAL22V10 al realizarle la programación correspondiente con
WINCUPL.
Para comprobar esto se realiza una correspondiente simulación para poder
determinar las características de cada uno de ellos.

V
CAPÍTULO 1

ANTECEDENTES

1.1 Historia de la mecatrónica.


La historia de la mecatrónica se remonta al uso de las tecnologías mecánicas y
electrónicas, como máquinas automáticas, robots y cámaras, durante la mitad del
siglo pasado. Luego en los ochenta la rama de la informática de introdujo a este
compuesto de tecnologías para mejorar su desempeño. De esta manera se fueron
propagando cada vez más los sistemas electrónicos y de motor, y más adelante,
en los noventa, se agregó la tecnología de la comunicación, en la cual se podrían
expandir por varias redes.

Evolución de la mecatrónica
Las evoluciones de la mecatrónica en conjunto con estos procesos hicieron
posible la creación y manipulación de robots y operaciones remotas, añadiendo
progresivamente micro sensores y micro actuadores. De esta manera, la
ingeniería mecatrónica se convierte en un conjunto de ingenierías en las que se
emplean todas sus funciones en un solo producto, convirtiéndola en un área más
amplia y utilitaria para el desarrollo y comodidad de la vida humana.
La designación del término ‘Meca-trónica’ fue incluida en el año 1969 por tsuro
Mori, ingeniero de la compañía japonesa Yaskawa. Dicha palabra se derivó de la
sinergia de todas sus ingenierías (mecánica, electrónica, informática e ingeniería
de control), dándole origen a un sistema más inteligente y digitalizado.

El campo ocupacional actual del ingeniero en mecatrónica está en empresas de


la industria automotriz, manufacturera, petroquímica, metal-mecánica, alimentos
y electromecánica, realizando sobre todo actividades de diseño, manufactura,
programación de componentes y sistemas industriales y equipo especializado, así
como en la promoción y activación de empresas de servicios profesionales.

Automatización: en la gran mayoría de las empresas del sector industrial,


comercial y de servicios donde se utiliza con mayor incidencia los medios
electrónicos y de automatización; ejerciendo la profesión en empresas de tipo:
minera, manufactura, electricidad, comercio, comunicaciones y servicios;
asimismo, por cuenta propia puede desarrollar la actividad profesional en gestión
de empresas, ejecutando libremente servicios específicos requeridos por los
clientes.
Manufactura flexible: empresas dedicadas a la fabricación de sistemas y
componentes eléctricos o electrónicos. Empresas dedicadas a integrar proyectos
de automatización de procesos. Área de mantenimiento de sistemas
automatizados en: Industrias químicas, farmacéuticas, transformación de la
VI
madera, metal mecánica, automotriz, textil y de la confección, proceso de
alimentos, sector eléctrico, empresas dedicadas a proporcionar servicios
generales especializados.

Campos de aplicación
Por su gran amplitud podemos decir que la mecatrónica se puede aplicar en
muchos campos ya sean estos en la industria, en la vida diaria o en el desarrollo
tecnológico, por lo tanto, puede aplicarse desde la medicina hasta la minería,
industria mecánica, automovilística, comunicaciones, entre otras.

Un profesional en esta ingeniería podría tener distintas alternativas en que


ocuparse tales como:
 En el diseño, instalación, mantenimiento y comercialización de equipos y
sistemas automáticos relacionados con la mecánica y electrónica.
 En la proyección, organización y administración de empresas y sistemas
técnicos.
 En actividades de invención sobre proyectos técnicos de automatización o
integración.
 En actividades de investigación, docencia y capacitación respecto al área
de mecatrónica.
 En negociaciones en cuanto a la tecnología.

Las Compuertas Lógicas son circuitos electrónicos conformados internamente por


transistores que se encuentran con arreglos especiales con los que otorgan
señales de voltaje como resultado o una salida de forma booleana, están
obtenidos por operaciones lógicas binarias (suma, multiplicación). También
niegan, afirman, incluyen o excluyen según sus propiedades lógicas. Estas
compuertas se pueden aplicar en otras áreas de la ciencia como mecánica,
hidráulica o neumática (C.V., 2018)
Existen diferentes tipos de compuertas y algunas de estas son más complejas,
con la posibilidad de ser simuladas por compuertas más sencillas. Todas estas
tienen tablas de verdad que explican los comportamientos en los resultados que
otorga, dependiendo del valor booleano que tenga en cada una de sus entradas.
Trabajan en dos estados, "1" o "0", los cuales pueden asignarse a la lógica positiva
o lógica negativa. El estado 1 tiene un valor de 5v como máximo y el estado 0
tiene un valor de 0v como mínimo y existiendo un umbral entre estos dos estados
donde el resultado puede variar sin saber con exactitud la salida que nos
entregara. Las lógicas se explican a continuación:

 La lógica positiva es aquella que con una señal en alto se acciona,


representando un 1 binario y con una señal en bajo se desactiva.
representado un 0 binario.

VII
 La lógica negativa proporciona los resultados inversamente, una señal en
alto se representa con un 0 binario y una señal en bajo se representa con
un 1 binario.

Son unos pequeños circuitos electrónicos fabricados con una función específica
como pueden ser: Operaciones Aritméticas, funciones lógicas, amplificación,
codificación, decodificación, controladores, etc.

Estos Circuitos Integrados por lo general se combinan para formar sistemas


mucho más complejos que pueden ser desde una calculadora, un reloj digital, un
videojuego, hasta una computadora, etc. Se fabrican mediante la difusión de
impurezas en silicio mono cristalino, que sirve como material semiconductor, o
mediante la soldadura del silicio con un haz de flujo de electrones.
Se pueden aplicar a tecnología electrónica, eléctrica, mecánica, hidráulica y
neumática. Son circuitos de conmutación integrados en un chip.
.
Se pueden aplicar a tecnología electrónica, eléctrica, mecánica, hidráulica y
neumática. Son circuitos de conmutación integrados en un chip.

1.2 Justificación

Este reporte lo realizamos con la finalidad de recordar lo antes aprendido en TSU,


en ingeniería vamos a realizar la descarga del programa creado por nosotros
mismos al GAL22V10 de manera física y verificar que nuestras simplificaciones
son correctas con esto.

1.3 Objetivos
Objetivos generales
Aprender a programar las siete compuertas lógicas utilizando el programa llamado
WinCupl para poder reforzar lo antes visto cuando cursamos TSU, ya que se tenga
la simplificación de la tabla de verdad se procede a simular en proteus para
comprobar que la programación se haya realizado de manera correcta y siga el
orden de la tabla de verdad ya que el comportamiento debe ir ligado a la tabla.

VIII
CAPÍTULO 2

MARCO TEÓRICO

2.1. Fundamento teórico

Un Dispositivo Lógico Programable (PLD) es un componente electrónico usado


para construir circuitos digitales reconfigurables. A diferencia de una compuerta
lógica que tiene una función fija, los PLDs salen de fábrica sin una función en
específico, por lo tanto, necesitan ser programados o reconfigurados antes de
poder ser usados.

Elementos del lenguaje.


Lenguaje de descripción de hardware para programar PLD’s

El lenguaje VHDL puede ser usado de forma general para describir cualquier
circuito se usa principalmente para programar PLD (Programable Logic Device -
Dispositivo Lógico Programable), FPGA (Field Programmable Gate Array), ASIC
y similares.

Tipos de datos:
Como en cualquier lenguaje de programación existen varios tipos de datos, en
VHDL se pueden diferenciar dos:
 Tipos escalares: Son tipos simples que contienen algún tipo de magnitud.
 Tipos compuestos: Son tipos de datos compuestos por los que se han visto
anteriormente.

Atributos:
Los elementos como señales y variables pueden tener atributos, éstos se indican
a continuación del nombre, separados con una comilla simple y pueden incluir
información adicional de algunos objetos desarrollados en VHDL, que servirán a
las herramientas de diseño para obtener información a la hora de realizar una
síntesis.
 VHDL es un lenguaje de descripción de Hardware.
 Diferencias con lenguajes de programación:
Describe procesos que ocurren en
paralelo. Una descripción VHDL, representa el comportamiento o la estructura de
un sistema.

Permite describir un sistema como la interconexión de componentes.


Usado en ASIC, CPLD, FPGA combina:

IX
 Documentación
 Simulación
 Síntesis
Modelado según:
Comportamiento (Behavioural), Estructura (Structural)
Los operadores que proporciona el lenguaje son:
 Logicos
 Aritmeticos
 Relacionales
 Desplazamientos
Como en otros lenguajes de programación, en VHDL es posible estructurar el
código mediante el uso de subprogramas. Realmente, un subprograma es una
función o procedimiento que realiza una determinada tarea, aunque existen ciertas
diferencias entre ambas.
Una función devuelve un valor y un procedimiento devuelve los valores a través
de los parámetros que le han sido pasados como argumentos

-Programación del PLD.


Fundamentos, librerías y paquetes, objetos, tipos de datos y atributos, operadores
funciones y procedimientos

Se basa en remover el chip del PLC y se requiere colocarlo en un dispositivo


especial llamado programador, donde se ejecuta un software de programación el
cual nos permite establecer la información.

En este método no es necesario extraer el chip del circuito, la programación se


realiza por medio de un cable JTAG.
 Programación en Sistema
 programación por zif
 programación en sistema
Las compuertas lógicas son básicas para la construcción de los sistemas digitales.
Según desarrollo, (2018) las compuertas lógicas son utilizadas para realizar la
llamada lógica conmutativa. Se pueden explicar cómo interruptores eléctricos o
electromagnéticos. Para saber utilizar debidamente estas compuertas, es
necesario conocer la lógica binaria o el álgebra booleana, que como vimos en la
sesión pasada fue desarrollada por George Boole, el álgebra booleana en
nuestros días nos ayuda a desarrollar componentes y sistemas utilizando
proposiciones lógicas verdadero/falso que éstas a su vez en electrónica se
entiende con ceros y unos.

Cada compuerta es representada por un símbolo y la operación lógica que realiza


nos da como resultado una tabla de verdad.

X
1. Compuerta NOT (7404)
Una compuerta NOT se trata de un inversor, es decir, su función es invertir el valor
de entrada.

Ilustración Icompuertas not.

2. Compuerta AND (7408)


La compuerta AND tiene por lo menos dos entradas, su operación lógica es el
producto entre las entradas. Es necesario aclarar que no es un producto aritmético

Ilustración II Compuerta AND.

3. Compuerta OR (7432)
Esta compuerta, de la misma forma que AND, posee por lo menos dos entradas,
su operación lógica es la suma entre las entradas. No es una suma aritmética ya
que 1+1=1. Esto es debido a que es una compuerta O Inclusiva, es decir, basta
que una de las entradas sea 1 para que la salida sea 1.

Ilustración III Compuerta OR.

4. Compuerta OR-EX o XOR (7486)


La compuerta es OR exclusiva hará una suma lógica entre a por b invertida y a
invertida por b. Como es exclusiva para que la salida sea 1 una y solo una de sus
entradas debe ser 1.
XI
Ilustración IV Compuerta XOR.

5. Compuerta NAND (7400)


La lógica de esta compuerta es la negación del producto lógico de sus entradas,
en su símbolo se sustituye la compuerta NOT por un círculo a la salida de AND.

Ilustración V Compuerta NAND.

6. Compuerta NOR (7402)


La lógica de esta compuerta es la negación de la suma lógica de las entradas. Al
igual que NAND, sólo se agrega un círculo a la compuerta OR.

Ilustración VI Compuerta NOR.

7. Compuerta NOR - EX (74266)


Esta compuerta es básicamente la inversión de la compuerta OR-EX.

Ilustración VII Compuerta XNOR.

Las compuertas NAND, NOR y NOR-EX son llamadas compuertas lógicas


combinadas, ya que se le agrega la compuerta NOT a cada una de las compuertas
simples.
2.2 Descripción de la problemática.

Con este reporte se pretende que el usuario que tenga a la mano los programas
para realizar estas simulaciones las realice de una manera fácil, para ellos es el
reporte para realizar paso por paso a seguir para conseguir la programación de
XII
manera correcta, así como la simulación en proteus para demostrar que lo
programada realiza lo que nosotros como usuarios le programamos

2.3 Análisis situacional


Al estar realizando la programación el usuario deberá tener la atención necesaria
para aprender a programar adecuadamente, esto ayudará para el aprendizaje y
para poderlo emplear en el ambiente laboral.

XIII
CAPÍTULO 3

3.1 Estrategia de solución

Pasos a seguir para la programación y simulación de los PLD con 7 compuertas.


Con la simulación en Proteus.
La muestra un protoboard que tiene las compuertas lógicas programadas en un
solo circuito integrado como lo es el GAL22V10.
Plan de trabajo
En la siguiente gráfica de Gantt se observa la calendarización de actividades
diarias.

DIAS Lunes Miércoles Jueves


ACTIVIDADES
1.-Instalar programa X
2.-Leer el contenido X X
de actividad de
compuertas
3.-Iniciar X
programación
4.-Simulación X
5.-Realización de X
reporte
6.-imprimir reporte X

Tabla I Actividades diarias.

XIV
3.2 Desarrollo del proyecto.
1. Abrir WinCupl y aparece el menú y las herramientas.

Ilustración VIII WinCulp.

2. En la barra de menus pulsar File>New>Design File.

Ilustración IX Pantalla principal.

3. Para generar el archivo .jed, en Device cambiar Virtual por g22v10. En Name
teclear el nombre del proyecto que se va a diseñar.

Ilustración X Cambio de nombre.

XV
4. Presionar OK, en ese momento aparece la ventana INPUT PINS, aquí se
colocan los pines de entrada necesarios, para este ejemplo serán 2 pines.
Presionar OK, aparece otra ventana OUTPUT PINS, en esta se colocan
los pines designados como salidas, en este ejemplo son 7. Presionar OK,
aparece otra ventana a la cual no se le harán cambios. Presionar OK.
Aparece la hoja de trabajo

Ilustración XI Número de entradas.

Ilustración XII Números de salidas.

Ilustración XIII Hoja de trabajo

XVI
En esta pantalla de trabajo se observan los datos capturados para este PLD.
Los pines de entrada/salida aún no se han definido, tampoco los nombres de sus
variables.
Se definieron los pines 1 y 2 como entradas, se deben definir los nombres de las
variables y posteriormente realizar las operaciones lógicas que definirán las
funciones del dispositivo.
Para las salidas se definieron los pines del 14 al 20 y se debe realizar el mismo
procedimiento que con las entradas

Ilustración XIV Definición de entradas y saldas.

Teniendo las entradas y salidas definidas en un puerto realizamos la


programación de cada compuerta, en lenguaje de programación del software tiene
caracteres para poder programar, a continuación, se describen os símbolos en
lenguaje de programación se entenderá como cada una de las compuertas.

SÍMBOLO COMPUERTA
! NOT
& AND
# OR
$ XOR
Tabla II Símbolos de programación.

XVII
5. Una vez que se comprendió la lógica de programación para poder
programar cada compuerta lógica, se procede a programar las compuertas
con su respectivo símbolo.

6. En la barra de menu en Options>Compiler hay que activar la pestaña


Output Files en Download, activar la opción JEDEC. Enseguida se
compila el código que genera el archivo .jed, es el que usa el programador

Ilustración XV Compiler.

para grabar el PLD de este ejemplo En General activar la opción JEDEC.

XVIII
7. Compilar el código usando Run>Device Dependent Compile, en la
ventana derecha se observan los archivos generados al terminar de
compilar.

Ilustración XVI Run.

Ilustración XVII Compilation Results.

XIX
8. Una vez que se tiene el archivo .jed, se procede a la simulación del proyecto
o circuito, para esto se usará el software de simulación Proteus.

Ilustración XVIII Proteus.

Para realizar esta simulación se ocuparon los siguientes componentes


electrónicos.

a) AM22V10
b) DIPSW_2
c) 1 LED (verde, amarillo y rojo)
d) Resistencias de 150
Se selecciona el AM22V10 dándole doble clic y en la parte JEDEC Fuse Map
File. Se agrega la programación que se realizó en WinCulp

XX
.Ilustración XIX Agregar programación.

9. Una vez que se cargó el programa corremos la simulación, y se va


comparando con la tabla de la verdad que se mostró antes.

Ilustración XX Programa cargado y funcionando.

XXI
XXII
CAPÍTULO 4

RESULTADOS
4.1 Logros alcanzados

En si es mucho mejor utilizar el PLD que estar haciendo arreglos con compuerta
lógicas una a una. Y entender cómo funciona el programa WinCulp para
programar un PLD y a si se reduce el costo de los materiales, menos cables se
necesitan.

4.2 Conclusiones

Esta actividad ayudo a recordar lo que ya habíamos visto tiempo atrás en TSU.
Los símbolos que utilizan en la ecuación de programación la cual hace que siga
el orden de la tabla de verdad de cada uno de los circuitos integrados.

4.3 Recomendaciones

 Leer cuidadosamente lo que se pide en la actividad.


 No quedarse con las dudas, regresar a los pasos escritos para aclarar
cualquier duda.
 En dado caso de seguir el documento poner atención a cada indicación
para no tener problemas.

XXIII
ANEXOS

A. Referencias Bibliográficas.
(C.V., 2018; Desconocido., 2012)
(C.V., 2018)

XXIV

Você também pode gostar