Você está na página 1de 7

Datasheet

StarRC
Parasitic Extraction

Overview StarRC Solution


StarRC™ is the EDA industry’s gold Semiconductor process technology has been continually scaling down for the past four
standard for parasitic extraction. A decades and the trend continues. Shrinking process geometries, combined with the
use of new device structures like FinFETs and an increasing number of metal layers at
key component of Synopsys’ Galaxy™
each new process node, are introducing millions of new parasitic effects in designs.
Design Platform, it provides a silicon- In addition, soaring design sizes and complexities are increasing the sensitivity of
accurate and high-performance circuits to parasitics due to the increasing impact on signal timing, noise and power.
extraction solution for SoC, custom To ensure a successful silicon design and meet tapeout schedules, IC designers need
digital, analog/mixed-signal and an advanced parasitic extraction solution that delivers signoff accuracy and increased
memory IC designs. StarRC offers designer productivity. Furthermore, they need a solution that is versatile enough to
manage the full design spectrum from custom digital, analog/mixed-signal (AMS) to full-
modeling of physical effects for
chip memory and SoC designs.
advanced process technologies,
including FinFET technologies Synopsys’ StarRC is the proven high-accuracy and high-performance parasitic
at 16nm, 14nm, 10nm, 7nm, and extraction solution for digital and custom IC implementation and signoff verification
(Figure 1). Trusted by hundreds of semiconductor companies and used in thousands
beyond. Its seamless integration with
of production designs, StarRC provides sub-femtofarad-accurate technology for
industry standard digital and custom design at advanced process technologies. It achieves its high accuracy by performing
implementation systems, timing, detailed modeling of device and interconnect parasitic effects in nanometer
signal integrity, power, physical process technologies. The advanced modeling and accuracy is complemented
verification and circuit simulation with the embedded Rapid3D field solver technology for circuits that require even
flows delivers unmatched ease-of-use higher accuracy.

and productivity to speed design StarRC delivers industry-leading performance and capacity for users’ gate-level
closure and signoff verification. and transistor-level extraction needs. StarRC’s multi-core distributed processing
technology delivers excellent scalability for efficient utilization of available hardware,
and its simultaneous multi-corner extraction (SMC) feature allows the increasing
number of extraction corners required for analysis to be processed within a single
run with significantly reduced runtime and disk usage. Its seamless integration with
Synopsys’ place-and-route IC Compiler™ and IC Compiler II physical implementation,
gold standard PrimeTime® static timing analysis (STA) signoff, Galaxy Custom Designer®
mixed-signal implementation, IC Validator physical verification, CustomSim™ circuit
simulation and other third-party implementation and signoff tools enables users to
significantly accelerate their design implementation and verification.
StarRC

Advanced High Custom/3D


technology performance extraction

SoC/ASIC Memory Custom/AMS IP

Figure 1: StarRC provides a parasitic extraction solution for gate-level and


transistor-level digital and custom IC designs

Benefits Advanced Process Modeling and 7nm are requiring consideration of


Foundry gold standard for extraction
`` Increasing process variation and new a host of completely new and complex
accuracy with broadest qualification parasitic effects introduced at each effects with even more ramifications
and adoption new technology node are significantly on extraction, timing analysis and
increasing design challenges. Process design robustness. More than ever,
Leader in advanced modeling, including
``
technologies at 40nm and 28nm the accuracy of parasitic modeling and
FinFET and color-aware multi-patterning
elevated a variety of physical effects extraction results is contributing to overall
at 10nm/7nm and beyond.
once considered secondary to primary design integrity.
High performance and capacity for gate
``
and transistor-level extraction, enabled factors affecting circuit behavior, risking
20nm Double Patterning Technology
by multi-core distributed processing and performance degradation, silicon
(DPT) Modeling
simultaneous multi-corner extraction failure and lower yields if not accurately
modeled. Some typical process modeling At 20nm, significant capacitance variation
Tightly integrated with industry leading
`` is introduced by double-patterning, a
capabilities offered by StarRC include
IC Compiler II and PrimeTime solutions fabrication strategy where metal lines
litho-aware extraction and chemical-
for faster full-flow ECO turn-around time on a single layer are created in two
mechanical polishing (CMP)-based
Unified Rapid3D fast field solver for critical
`` thickness variation extraction, as well separate masking steps to achieve finer
net, IP, and custom circuit extraction as modeling of micro-loading effects metal pitch. Misalignment between the
Advanced netlist reduction features for
`` and low K dielectric damage. For patterns causes coupling capacitance
faster simulation turn-around time transistor-level circuit modeling, gate-to- between adjacent metal lines to increase
Inductance extraction for high frequency
`` contact capacitance, gate-to-diffusion in one direction and to decrease in
digital RLC clock net analysis capacitance, and contact etch effects another (Figure 2a). StarRC models
are some of the device parasitics which these capacitance variations with a novel
3D-IC extraction solution for interposer
``
are accurately modeled by StarRC for modeling technique that ensures that
and stacked die technologies
increased signoff integrity, with the these effects are accounted for accurately
Integration with IC Validator physical
`` while preserving the existing signoff flows.
verification, CustomSim circuit additional benefit of being modeled in
simulation, Galaxy Custom Designer context with the layout environment for
and other third party implementation even higher accuracy.
and custom design solutions for In addition, striking changes in process
increased designer productivity technology, like double-patterning
lithography at 20nm, 16nm/14nm FinFET
transistor architecture, 10nm/7nm multi-
patterning lithography, and further FinFET
architecture enhancements at 10nm

StarRC 2
FinFET Modeling
Even more radical changes are introduced (a) Color-aware DPT Mask Shift Modeling
by 16nm/14nm FinFET transistor Increase Decrease

architecture. In contrast with planar Non-color Pre-colored


transistors, FinFETs are able to achieve
better control over the source-drain
channel because the gate encloses
the channel on three sides, resulting in
higher mobility, greater drive strength, Space-dependent Dielectrics Capacitance extraction
(ER_VS_SI_SPACING) with normal dielectric
lower switching currents and lower
leakage currents. But this multi-gate,
non-planar architecture also introduces
more complex co-vertical geometries
and many new capacitive elements
that must be accurately extracted due
(b) FinFET 3-Dimensional Modeling
to their impact on circuit performance.
StarRC uses a uniquely detailed FinFET V0 V0
physical profile derived from QuickCap®’s
M0 M0
field solver technology for 3D modeling
Gate
of layout-dependent middle-end-of-
line (MEOL) parasitic effects (Figure 2b)
for increased accuracy. At 10nm and Source Fin Drain

7nm, new materials and geometries are


being introduced to FinFETs to reduce Detailed Silicon Profile Accurate Middle-of-line (MEOL)
3D Modeling Parasitic Extraction
operating voltage while improving
transistor performance. Due to its
advanced modeling solution, StarRC is
the extraction tool of choice for foundries (c) Modeling of 10nm Multi-patterning Effects
and IP developers to model new parasitic
effects and ensure proper characterization A-B
of FinFET devices.
A-B B
10nm/7nm Multi-patterning Modeling
A
At 10nm and 7nm, where even finer metal
A
pitch geometries must be resolved than
at 20nm, multi-patterning of three or A-A
more mask layers is used. This requires
an even more complex capacitance
variation model which requires properly Mask-aware etch vs. width and spacing for capacitance

identifying each conductor’s printed mask


layer, or “color”, as well as accounting for Figure 2: StarRC’s advanced 20nm DPT, 16nm/14nm FinFET, and 10nm modeling for
adjacent metal line width and spacing signoff accuracy
effects (Figure 2c). StarRC’s 10nm and
7nm extraction solutions are fully color-
aware and include updated Interconnect
Technology Format (ITF) constructs
to support all etch effects associated
with a range of foundry multi-patterning
lithography strategies for 10nm and 7nm.

StarRC 3
3D-IC Modeling (a) Face-to-face stacked die

StarRC also supports extraction for Face2Face


Liner
stacked die and silicon interposer 3D-IC Substrate

Die 1
Front side M1 (front side metal)
technologies (Figure 3). StarRC extracts µbumps
through-silicon vias (TSV) and substrates, Front side M1 M1

Die 2
TSV-TSV capacitive coupling, silicon TSV T
Substrate Floating Floating
S
interposers, micro-bump structures, Back side BM1 BM1 substrate V substrate
C4 bumps
and routing layers on each die. StarRC
supports modeling substrates as either BM (back metal) RDL routing
floating or grounded. StarRC’s extraction Package substrate
C4 bump
and modeling of through-silicon vias
and substrates through Synopsys’ BGA balls
Interconnect Technology Format (ITF)
has been qualified by several major
foundries and is found in their 3D-IC (b) Face-to-back stacked die
Face2Face
reference flows. Liner
Die 1
Substrate Micro-bump

Front side BM (back metal) RDL routing


µbumps
Multi-Core Distributed Back side BM1 BM1
Die 2

Processing Substrate
TSV
Substrate
T
Substrate
S
Multi-core processor hardware has Front side M1 M1 V
C4 bumps
become common due to the widespread
need for higher productivity. A large M1 (front side metal)
Package substrate
majority of design jobs are run on
compute farms consisting of multi-core
machines, and IC designers seek design BGA balls
tools that harness the full potential of their
hardware network. StarRC’s multi-core (c) Silicon interposer
technology works seamlessly with popular
commercial grid computing management Silicon Interposer Top metal
software to maximize efficiency across
multi-core processors, as well as multi-
Die 1 Die 2 V
processor compute farms, to take i Microbump
µbumps a pseudo via
full advantage of available hardware. N
interposer

Front
Front side
side
Silicon

StarRC offers high performance per CPU


Substrate
Substrate TSV
core, with 12X scalability on 16 cores MetalN (RDL)
and over 20X on 32 cores. In addition, Back side
C4 bumps Metal1
StarRC multi-core distributed processing
provides easy-to-setup compute resource
Package substrate T
allocation, automated design partitioning S Substrate
to multiple cores, balanced load sharing, V node
and automatic failure recovery for a BGA balls
superior fault-tolerant server environment.
Bottom metal

Simultaneous Multi-Corner
Figure 3: 3D-IC stacked die and interposer profiles example
Extraction
The increase in process variation and
decrease in process geometries found wherein all extraction corners can be single SMC run. Runtime speedup of 2-3X
in technology nodes at 20nm and below analyzed within a single run. For designers can be seen across designs ranging in
have resulted in a significant growth in the traditionally executing multiple extraction size from 2M to 300M instances. StarRC’s
number of extraction corners requiring runs in parallel, runtime speedup of up ultra-scalable multi-core technology
analysis. This in turn is having a significant to 3X is achieved when using SMC and enables the use of over 100 CPU cores
impact on the efficiency of designers. To aggregating hardware resources within a for faster speedup on large designs.
mitigate the increased turnaround time single run. Those with resource–limited Disk usage with SMC is also significantly
(TAT) caused by this rise in extraction environments running serial extractions reduced, typically by 75% or more
corners, StarRC offers ultra-scalable will see even greater TAT benefits when depending upon the number of corners
simultaneous multi-corner extraction, comparing total extraction runtime to a extracted. StarRC’s SMC feature is
available for both gate-level and transistor-
level extraction.

StarRC 4
Fast ECO Extraction Runtime and disk usage benefits
SMC vs. single corner extraction
The ECO timing closure cycle has
5x
become a significant TAT issue for
designers. Final design optimization which 4x 4.5x
may affect only a small portion of a chip
3.6x
introduces lengthy delays in tapeout 3x
3.1x Runtime speedup
schedules. In order to minimize the impact 3.0x
2.7x 2.9x
of these changes in extraction, StarRC 2x
2.3x 2.3x
Disk reduction
fast ECO extraction allows designers to
1x
extract only those nets affected by ECO
changes versus re-analyzing an entire
0x
design. StarRC fast ECO extraction
2M lnst 15M lnst 300M lnst 150M lnst
achieves up to 5X faster extraction 4 corners 8 corners 8 corners 7 corners
TAT while maintaining the same signoff 8 cores 8 cores 32 cores 100 cores

accuracy as full extraction. StarRC is


Figure 4: Design TAT and Disk Usage Improvement with SMC
also tightly integrated with PrimeTime
STA and IC Compiler II place and route
solutions, allowing designers to achieve
High Accuracy Fast Field CustomSim Circuit Simulator
faster ECO turn-around time across their
entire digital implementation and signoff
Solver Extraction Integration
flow. IC Compiler II directly updates For timing sensitive implementations such Post-layout simulation runtimes are
StarRC with ECO database changes as clock networks, memories, analog/ increasing 2-4X with every new process
for faster identification of ECO affected mixed signal/RF, high-speed digital, generation. More accurate and efficient
nets. PrimeTime also directly reads standard cells and other IP designs, parasitic extraction is needed to
Galaxy Parasitic Data (GPD) from StarRC, accuracy is a non-negotiable design accelerate simulation and meet tapeout
eliminating the need for separate SPEF criterion. Designers of such critical IP schedules. StarRC offers seamless
netlists to be generated by StarRC and and circuits generally require field solver integration with Synopsys’ CustomSim
input into PrimeTime. level accuracy as well as fast turnaround circuit simulator and a wide range of
time. StarRC offers integrated fast field innovative features to boost simulation
solver extraction, Rapid3D with merged performance and capacity while
Inductance Extraction for High QuickCap NX technology, for industry’s preserving signoff accuracy. StarRC’s
Frequency Clock Nets highest accuracy 3D extraction. It exclusive interface with CustomSim
Inductance effects are becoming incorporates the latest advancements in includes active node extraction, post-
increasingly important to model for high field solver algorithms to deliver highest layout acceleration with hierarchical
frequency, low resistance nets, such as performing 3D extraction while providing back-annotation, and power network
those for high-performance clocks routed golden accuracy. The embedded optimization. The integration between the
on upper layer metals. Inductance effects Rapid3D technology complements two tools enables over 10X simulation
on clock nets include steeper edge rates, StarRC’s primary extraction engine performance speed-up for custom IC and
push out delays, and voltage undershoot for 3-dimensional self- and coupling- memory designs.
and overshoot. StarRC’s inductance capacitance extraction of critical circuits.
extraction feature models the inductance Within the single StarRC environment,
on clock nets with pre-defined power/ users can supply a list of nets that
ground net shielding and includes the need the highest level of accuracy for
inductance values in Detailed Standard capacitance extraction. The tool not only
Parasitic Format (DSPF) netlists for extracts the nets in the regular flow, but it
simulation analysis. Inductance extraction also creates a subset of the design based
is invoked from the same StarRC interface on the user-specified nets to be extracted
as RC extraction and uses standard using the field solver technology. A
foundry technology files for input. The merged netlist is generated including the
inductance values extracted with this higher accuracy field solver extracted nets
feature correlate within 10% to FastHenry, (Figure 5).
ensuring high accuracy as well as
high productivity.

StarRC 5
Custom AMS Design Platform StarRC FS flow
Integration (QuickCap Inside)

StarRC is integrated with Synopsys’


Galaxy Custom Designer mixed-signal
implementation system and with ITF nxtgrd
Cadence®’s Virtuoso® Analog Design
Environment (ADE) for custom AMS
and custom digital designs. StarRC and

QuickCap Techfile
StarRC
Galaxy Custom Designer offer users
the unique benefits of an OpenAccess

(QTF)
interface combined with the ease-of-use Fast field solver
(Rapid 3D)
of the familiar Synopsys implementation
environment using a common data flow.
For the Virtuoso environment, StarRC
generates OpenAccess or Cadence DFII
database parasitic views for netlisting
Characterization
and simulation, compatible with common
netlisting interfaces used within ADE.
StarRC offers full parasitic probing
capabilities within the parasitic view
or within the matching schematic view
Std cellll Memory Custom/AMS
(Figure 6). The parasitic prober allows
users to interactively observe point-to-
point resistance, total net capacitance, Figure 5: StarRC integrated fast field solver extraction offers high-accuracy extraction
net-to-net coupling capacitance and for critical IP within a single extraction environment
cross-probing between schematic and
parasitic views. It also provides the ability
to output probed parasitics to an ASCII RPSQ variation as function of
`` Productivity and Ease-of-use
report file, and to annotate parasitic view silicon width Multi-core distributed processing
``
total capacitance values to an associated Nonlinear RPSQ variation
`` Simultaneous multi-corner extraction
``
schematic view. Trapezoidal polygon support
`` Fast ECO extraction for ECO
``
Copper interconnect, local
`` TAT reduction
Process Modeling
interconnect modeling Clock inductance extraction
``
10nm/7nm color-aware multi-patterning
``
Low-K dielectric, silicon on insulator
`` Integration with PrimeTime and IC
``
Via coverage resistance variation modeling
`` (SOI) modeling Compiler II for ECO TAT reduction
FinFET 3D modeling
`` Conformal dielectric process support
`` CustomSim circuit simulation integration
``
Color-aware double patterning
`` Via cap extraction
`` Custom layout environment integration
``
Trench contact modeling
`` Layer etch effects
`` Physical implementation interface
``
Inductance extraction for high frequency
`` Temperature-dependent resistance
`` (GDSII, Milkyway™, LEF/DEF, NDM)
clock nets modeling for conducting layers and vias Galaxy Parasitic Data (GPD) interface to
``
Embedded 3D field solver
`` Support of background dielectric
`` PrimeTime
3D-IC, Silicon Interposer TSV modeling
`` Nonlinear via resistance modeling
`` Metal fill re-use for ECO TAT reduction
``
Via etch modeling
`` 45 degree routing support
`` Hierarchical layout-versus-schematic
``
Width- and spacing-dependent
`` Support of multiple inter-layer and intra-
`` (LVS) and advanced device parameter
thickness variation layer dielectric ADP extraction flow
Density-based thickness variation
`` Support for co-vertical conductors
`` Active node extraction
``
Width- and spacing-dependent
`` Support for non-planarized metal
``
resistance per square (RPSQ) variation

StarRC 6
Parasitic
cross-probing

Schematic view Layout view

Simulation waveform

Figure 6: StarRC integration with custom design environments, such as Galaxy Custom Designer,
enables productive cross-probing and simulation debugging

Selective device parasitic handling


`` Specifications Platform/OS
Flexible parasitic reduction
`` File Format Support IBM® RS/6000® AIX® (64)
``
Automated power net extraction
`` StarRC supports the following industry- x86 Red Hat® Enterprise Linux® (64)
``
optimization (TARGET_PWRA) standard formats and interfaces: x86 SUSE® Linux (64)
``
Transparent simulation setup
``
Layout data in: GDSII, LEF/DEF,
`` For more information about this
License queuing
``
Milkyway, NDM, IC Compiler, IC product, sales, support services
User-control reduction of
`` or training, contact your local
Compiler II, IC Validator, Hercules™,
parasitic netlists Synopsys sales representative or call
Mentor Graphics® Calibre®
Advanced reduction features for
`` 1.650.584.5000.
Output formats: GPD, SPEF,
``
simulation productivity
DSPF, SPICE

Synopsys, Inc. • 690 East Middlefield Road • Mountain View, CA 94043 • www.synopsys.com
©2015 Synopsys, Inc. All rights reserved. Synopsys is a trademark of Synopsys, Inc. in the United States and other countries. A list of Synopsys trademarks is
available at http://www.synopsys.com/copyright.html . All other names mentioned herein are trademarks or registered trademarks of their respective owners.
11/15.AP.CS6732.

Você também pode gostar