Escolar Documentos
Profissional Documentos
Cultura Documentos
StarRC
Parasitic Extraction
and productivity to speed design StarRC delivers industry-leading performance and capacity for users’ gate-level
closure and signoff verification. and transistor-level extraction needs. StarRC’s multi-core distributed processing
technology delivers excellent scalability for efficient utilization of available hardware,
and its simultaneous multi-corner extraction (SMC) feature allows the increasing
number of extraction corners required for analysis to be processed within a single
run with significantly reduced runtime and disk usage. Its seamless integration with
Synopsys’ place-and-route IC Compiler™ and IC Compiler II physical implementation,
gold standard PrimeTime® static timing analysis (STA) signoff, Galaxy Custom Designer®
mixed-signal implementation, IC Validator physical verification, CustomSim™ circuit
simulation and other third-party implementation and signoff tools enables users to
significantly accelerate their design implementation and verification.
StarRC
StarRC 2
FinFET Modeling
Even more radical changes are introduced (a) Color-aware DPT Mask Shift Modeling
by 16nm/14nm FinFET transistor Increase Decrease
StarRC 3
3D-IC Modeling (a) Face-to-face stacked die
Die 1
Front side M1 (front side metal)
technologies (Figure 3). StarRC extracts µbumps
through-silicon vias (TSV) and substrates, Front side M1 M1
Die 2
TSV-TSV capacitive coupling, silicon TSV T
Substrate Floating Floating
S
interposers, micro-bump structures, Back side BM1 BM1 substrate V substrate
C4 bumps
and routing layers on each die. StarRC
supports modeling substrates as either BM (back metal) RDL routing
floating or grounded. StarRC’s extraction Package substrate
C4 bump
and modeling of through-silicon vias
and substrates through Synopsys’ BGA balls
Interconnect Technology Format (ITF)
has been qualified by several major
foundries and is found in their 3D-IC (b) Face-to-back stacked die
Face2Face
reference flows. Liner
Die 1
Substrate Micro-bump
Processing Substrate
TSV
Substrate
T
Substrate
S
Multi-core processor hardware has Front side M1 M1 V
C4 bumps
become common due to the widespread
need for higher productivity. A large M1 (front side metal)
Package substrate
majority of design jobs are run on
compute farms consisting of multi-core
machines, and IC designers seek design BGA balls
tools that harness the full potential of their
hardware network. StarRC’s multi-core (c) Silicon interposer
technology works seamlessly with popular
commercial grid computing management Silicon Interposer Top metal
software to maximize efficiency across
multi-core processors, as well as multi-
Die 1 Die 2 V
processor compute farms, to take i Microbump
µbumps a pseudo via
full advantage of available hardware. N
interposer
Front
Front side
side
Silicon
Simultaneous Multi-Corner
Figure 3: 3D-IC stacked die and interposer profiles example
Extraction
The increase in process variation and
decrease in process geometries found wherein all extraction corners can be single SMC run. Runtime speedup of 2-3X
in technology nodes at 20nm and below analyzed within a single run. For designers can be seen across designs ranging in
have resulted in a significant growth in the traditionally executing multiple extraction size from 2M to 300M instances. StarRC’s
number of extraction corners requiring runs in parallel, runtime speedup of up ultra-scalable multi-core technology
analysis. This in turn is having a significant to 3X is achieved when using SMC and enables the use of over 100 CPU cores
impact on the efficiency of designers. To aggregating hardware resources within a for faster speedup on large designs.
mitigate the increased turnaround time single run. Those with resource–limited Disk usage with SMC is also significantly
(TAT) caused by this rise in extraction environments running serial extractions reduced, typically by 75% or more
corners, StarRC offers ultra-scalable will see even greater TAT benefits when depending upon the number of corners
simultaneous multi-corner extraction, comparing total extraction runtime to a extracted. StarRC’s SMC feature is
available for both gate-level and transistor-
level extraction.
StarRC 4
Fast ECO Extraction Runtime and disk usage benefits
SMC vs. single corner extraction
The ECO timing closure cycle has
5x
become a significant TAT issue for
designers. Final design optimization which 4x 4.5x
may affect only a small portion of a chip
3.6x
introduces lengthy delays in tapeout 3x
3.1x Runtime speedup
schedules. In order to minimize the impact 3.0x
2.7x 2.9x
of these changes in extraction, StarRC 2x
2.3x 2.3x
Disk reduction
fast ECO extraction allows designers to
1x
extract only those nets affected by ECO
changes versus re-analyzing an entire
0x
design. StarRC fast ECO extraction
2M lnst 15M lnst 300M lnst 150M lnst
achieves up to 5X faster extraction 4 corners 8 corners 8 corners 7 corners
TAT while maintaining the same signoff 8 cores 8 cores 32 cores 100 cores
StarRC 5
Custom AMS Design Platform StarRC FS flow
Integration (QuickCap Inside)
QuickCap Techfile
StarRC
Galaxy Custom Designer offer users
the unique benefits of an OpenAccess
(QTF)
interface combined with the ease-of-use Fast field solver
(Rapid 3D)
of the familiar Synopsys implementation
environment using a common data flow.
For the Virtuoso environment, StarRC
generates OpenAccess or Cadence DFII
database parasitic views for netlisting
Characterization
and simulation, compatible with common
netlisting interfaces used within ADE.
StarRC offers full parasitic probing
capabilities within the parasitic view
or within the matching schematic view
Std cellll Memory Custom/AMS
(Figure 6). The parasitic prober allows
users to interactively observe point-to-
point resistance, total net capacitance, Figure 5: StarRC integrated fast field solver extraction offers high-accuracy extraction
net-to-net coupling capacitance and for critical IP within a single extraction environment
cross-probing between schematic and
parasitic views. It also provides the ability
to output probed parasitics to an ASCII RPSQ variation as function of
`` Productivity and Ease-of-use
report file, and to annotate parasitic view silicon width Multi-core distributed processing
``
total capacitance values to an associated Nonlinear RPSQ variation
`` Simultaneous multi-corner extraction
``
schematic view. Trapezoidal polygon support
`` Fast ECO extraction for ECO
``
Copper interconnect, local
`` TAT reduction
Process Modeling
interconnect modeling Clock inductance extraction
``
10nm/7nm color-aware multi-patterning
``
Low-K dielectric, silicon on insulator
`` Integration with PrimeTime and IC
``
Via coverage resistance variation modeling
`` (SOI) modeling Compiler II for ECO TAT reduction
FinFET 3D modeling
`` Conformal dielectric process support
`` CustomSim circuit simulation integration
``
Color-aware double patterning
`` Via cap extraction
`` Custom layout environment integration
``
Trench contact modeling
`` Layer etch effects
`` Physical implementation interface
``
Inductance extraction for high frequency
`` Temperature-dependent resistance
`` (GDSII, Milkyway™, LEF/DEF, NDM)
clock nets modeling for conducting layers and vias Galaxy Parasitic Data (GPD) interface to
``
Embedded 3D field solver
`` Support of background dielectric
`` PrimeTime
3D-IC, Silicon Interposer TSV modeling
`` Nonlinear via resistance modeling
`` Metal fill re-use for ECO TAT reduction
``
Via etch modeling
`` 45 degree routing support
`` Hierarchical layout-versus-schematic
``
Width- and spacing-dependent
`` Support of multiple inter-layer and intra-
`` (LVS) and advanced device parameter
thickness variation layer dielectric ADP extraction flow
Density-based thickness variation
`` Support for co-vertical conductors
`` Active node extraction
``
Width- and spacing-dependent
`` Support for non-planarized metal
``
resistance per square (RPSQ) variation
StarRC 6
Parasitic
cross-probing
Simulation waveform
Figure 6: StarRC integration with custom design environments, such as Galaxy Custom Designer,
enables productive cross-probing and simulation debugging
Synopsys, Inc. • 690 East Middlefield Road • Mountain View, CA 94043 • www.synopsys.com
©2015 Synopsys, Inc. All rights reserved. Synopsys is a trademark of Synopsys, Inc. in the United States and other countries. A list of Synopsys trademarks is
available at http://www.synopsys.com/copyright.html . All other names mentioned herein are trademarks or registered trademarks of their respective owners.
11/15.AP.CS6732.