Você está na página 1de 14

©2017 Published in 5th International Symposium on Innovative Technologies in

Engineering and Science 29-30 September 2017 (ISITES2017 Baku - Azerbaijan)

Microcontroller based traffic light control system

1
Abdulhakim I.F. Awami and *2Ziyodulla Yusupov
1
Faculty of Engineering, Department of Electrical and Electronic Karabuk University, Turkey
*2 Faculty of Engineering, Department of Electrical and Electronic Karabuk University, Turkey

Abstract

Largest cities in Turkey as well as largest cities in world have the problem with traffic congestion. Traffic
congestion in tightly populated urban areas negatively impacts the living standard of population. A long-
time delays and emergency cases at traffic light intersection are one of basics reasons of traffic
congestion. The control system based on PIC 16F877A is designed to provide either normal or
emergency mode cases for vehicles and reduce of traffic congestion at intersection road. The proposed
control system of six ways and four junction’s intersection traffic light is developed for normal and
emergency mode cases. The simulation of traffic light control system is accomplished by Proteus Design
Suite 8 software and developed the prototype of this system.

Key words: Traffic light, traffic congestion, PIC 16F877A microcontroller, traffic flow

1. Introduction

In recent days, moving by vehicles has expanded around the world, and many nations are
confronting various issues at traffic light connection, which have brought a number of accidents
between the vehicles to the emergency. The fast increasing number of passenger vehicles in urban
cities and other urbanization activities have created huge problems on Turkish metropolitan
transportation systems. Largest cities in Turkey as well as largest cities in the world have the
problem with traffic congestion. Istanbul, Ankara and Izmir are one of the most densely populated
cities in Turkey, faces increasing constraints on their resources and traffic congestions. Traffic
congestion in tightly populated urban areas negatively impacts our living standard. A long-time
delays and emergency cases at traffic light intersection are one of basics reasons of traffic
congestion [1–4].

Traffic congestion occurs while many vehicles creates the greater space than the street space
volume. The scientists state that there are several problems due to traffic congestion, such as
wasting time of vehicles that reduces regional economic health; increasing air pollution and carbon
dioxide emissions by waste fuel; delays; emergency cases; stress of drivers, which is a reason of
increasing roads accidents; wear and tear on vehicles and so on.

So, it is important to develop reliable and easiest traffic control system to solve congestion problem
at intersection road. One of the solutions to decrease traffic congestion is to build a new
infrastructure of roads that should accommodate the increased number of vehicles. Another way is
to improve the usage of existing roads by efficiently controlling of traffic light timings.
* Corresponding author: Address: Faculty of Engineering, Department of Electrical-Electronics Engineering, Karabuk
University, Demir-Celik Campus, Baliklarkayasi Mevkii 78100, Karabuk TURKEY. E-mail address:
ziyadullayusupov@karabuk.edu.tr, Phone: +903704332021/3838
A. AWAMI et al./ ISITES2017 Baku - Azerbaijan 992

2. Modeling of traffic network

The modeling of the traffic flow includes the following steps:


1. Gathering real data from.
2. Simulate traffic flow model should process and select significant traffic data.
3. Build the model of the physical road network in computer.
4. Build a mathematical model of the traffic flow.
5. Identification of the traffic flow model.
6. Corroborating of the traffic flow model.

The reading of various research papers show that there are two commonplace tactics for
modeling traffic community:
1. Macroscopic model.
2. Microscopic model.

According to [5-7] macroscopic traffic model is based on kinetic model. This model uses the
equations related to relationship of traffic density to velocity. Macroscopic model oriented to
simulate certain behaviors of the object and cannot provide flexible way of object modeling and
optimizing [7].

Microscopic models can provide simulation of object behaviors and flexible way of object
modeling and optimizing. An infrastructure of microscopic model has a several cars. Each car is
represented as agent. Agents interacts with its environment according to their settled rules. There
are different kinds of behavior appear during cars interaction. One of these ways is cellular
automata (CA) which designed and simulated to set a rule on an infrastructure. Nagel and
Schreckenberg in [8] describe a CA model for traffic network simulation. According to this
description this model explores partially connected road-cells. The system dynamic characteristics
determined by local transition rules and have chaotic view. At each time interval cars rise their
speed until they reach their maximum velocity. Once cars move slowly the speed decreases. This
model has more adapted behavior on a single road.

Another way of microscopic model is cognitive multi-agent system (CMAS). CMAS contains
cognitive agents which can interact and communicate with each other. There are several definitions
of agent for multi-agent system. The “agent” definition is described by M. Wooldridge says that
an agent is a system (software or hardware) that can take an autonomous decision on behalf of its
user or owner [9].

Cognitive Multi-Agent System approach is the advanced approach to traffic simulation and
optimization. A cognitive agent is an object that autonomously tries to reach some aim state the
use of minimal attempt. The information from sensors of environment transfers to cognitive agent,
and agent according to these statistics to pick an action. Each agent considers as a single entity in
multi-agent system. Therefore, a cognitive agent using its learning capabilities can select best way
of action [10].
A. AWAMI et al./ ISITES2017 Baku - Azerbaijan 993

In [11] Cognitive Multi-Agent System described as a model of real drivers that can give responses
to traffic flow information. A review of CMAS showed that drivers after accepting information
about route, departure time reply differently to traffic flow information. Closed-loop control of
traffic network has some external values such as control inputs and disturbances. Behaviour of
traffic flow depends on these two type groups (Figure 1):
 Control input;
 Disturbance [12].

Input variables directly come to actuators. These actuators (control devices) include traffic signs,
variable message signs. It is possible to measure or detect external disturbances, but disturbance
values cannot control. The main part of feedback control system is the control strategy. The control
strategy controls inputs which measured by sensors. After that these data come to the surveillance
that reinforces and stretches information in the required by control strategy and human-machine
interface. The measurement information transfer to actuator and control strategy to provide actuator
with task in real time. Traffic network feedback control system can operate in manual – human-
machine and automatic – control strategy modes.

Disturbances
Demand Incidents

...

Traffic Total time spent


Actuators
Network Sensors
Measurements
Control
Inputs

Environment
COMPUTER
Control
Surveillance
strategy

Purposes

Human-Machine
Interface

Figure 1. Traffic network feedback

In Figure 2 is illustrated a simple road intersection to compute traffic flows without turning left and
right, where d1 and d2 are flows of arriving vehicles in direction north-south and east-west,
respectively. The maximum oversaturation flow rate for d1 and d2 is s1 and s2 respectively, g1 and
g2 are light phases of traffic light for both directions.
The average green light time is equals [12]
A. AWAMI et al./ ISITES2017 Baku - Azerbaijan 994

𝑑
𝑡𝑔𝑖 = 𝑡𝑐 𝑠 𝑖 , 𝑖 = 1, 2, … , (1)
𝑖
where tc – cycle time of traffic light signal changing.
Once arrival flows d1, d2 grow, we have

𝑡𝑔1 + 𝑡𝑔2 > 𝑡0 − 𝐿 , (2)


or

𝑑1 𝑑 𝐿
+ 𝑠2 > 1 − 𝑡 , (3)
𝑠1 2 𝑐

where: L – the total lost time for acceleration and clearing.

North

d2 l2
West East

South

d1 l1

Figure 2. Simple road intersection

The sequence lengths l1, l2 at the intersection are designated as the state variables of the road
traffic system with the following equations:
𝑔
𝑙𝑖̇ = 𝑑𝑖 − 𝑠𝑖 𝑡 𝑖 , 𝑖 = 1, 2, … (4)
𝑐

The difference between arriving flow di and second term on the right-hand side of equation (4)
designated as the rate change of the sequence length at the intersection. The second term on the
right-hand side of equation (4) we can designate as control variables if there is no influence on the
rate of arrivals. Therefore,
𝑔
𝑟𝑖 = 𝑠𝑖 𝑡 𝑖 , 𝑖 = 1, 2, … (5)
𝑐
A. AWAMI et al./ ISITES2017 Baku - Azerbaijan 995

However

𝑔1 + 𝑔2 = 𝑡𝑐 − 𝐿 (6)
Substituting (5) into (6)
𝑟1 𝑟 𝐿
+ 𝑠2 = 1 − 𝑡 or
𝑠1 2 𝑐
𝐿 𝑠
𝑟2 = 𝑠2 (1 − 𝑡 ) + 𝑠2 𝑟1, (7)
𝑐 1
which means: 𝑟 = 𝑟1. Substituting (5) and (7) into (4) we obtain the linear state equation of the
system:

𝑙1̇ = 𝑑1 − 𝑟 (8)
𝐿 𝑠
𝑙2̇ = 𝑑2 − 𝑠2 (1 − 𝑡 ) + 𝑠2 𝑟. (9)
𝑐 1

The equations (8) and (9) provide a basis for obtaining optimal control strategies of traffic light
signals at intersection road with direction only straight ahead.
Let’s consider intersection with turning left and right. The state equations for intersection with
turning left and right (Figure 3) are obtained as the same for a simple intersection. The control
variable is set to
𝑔
𝑟 = 𝑠11 𝑡 1 (10)
𝑐
and the state equations are given by

𝑙11̇ = 𝑑11 − 𝑟 (11)


𝑠
𝑙12̇ = 𝑑12 − 𝑠12 𝑟 (12)
11
𝐿 𝑠
𝑙21̇ = 𝑑21 − 𝑠21 (1 − 𝑡 ) + 𝑠21 𝑟 (13)
𝑐 11
𝐿 𝑠22
𝑙22̇ = 𝑑22 − 𝑠22 (1 − 𝑡 ) + 𝑠 𝑟 . (14)
𝑐 11
A. AWAMI et al./ ISITES2017 Baku - Azerbaijan 996

North 22 21

West East

South

1 11

12

Figure 3. A complex oversaturated intersection

3. Components of traffic light control system

A block diagram of traffic light control system is illustrated in Figure 4. Microcontroller PIC
16F877A based traffic light control system consists of the following components:
 5 V power supply;
 Microcontroller PIC 16F877A;
 Control switches (Transistor BC557 and resistor 1K);
 Binary Coded Decimal (BCD) decoders;
 74LS47N decoders;
 Seven-segments display decoder;
 LED driver.

BCD converts one digital format into another format for 7-Segment Display Decoder which is
suitable to display. BCD converter has Input lines (A, B, C, D) and Output lines (a, b, c, d, e, f, g)
(Figure 5) [13].

LED drivers are used to control the traffic light units. Control switch consists of resistor and
transistor. It is controlled by microcontroller and used to switch on or switch off 7-segment display.

Digit 7-segment is provided to show numbers, letters or even alpha-numerical characters in digital
form. The unit comprises of seven segments in one single display package.
A. AWAMI et al./ ISITES2017 Baku - Azerbaijan 997

LED Traffic Light


Driver 1 and 6

Traffic Light
7 and 12
Display Driver
Digit 7-Segment Traffic Light
Control BCD Green 2 and 5
Switch 1 Decoder

Control BCD Digit 7-Segment Traffic Light


Decoder Red 2 and 5
Switch 2

Microcontroller 74LS47N Digit 7-Segment Traffic Light


PIC 16F778A Decoder Green 3 and 4

74LS47N Digit 7-Segment Traffic Light


Decoder Red 3 and 4

Display Driver
Digit 7-Segment Traffic Light
Control BCD Green 8 and 11
Switch 3 Decoder

Control BCD Digit 7-Segment Traffic Light


Decoder Red 8 and 11
Switch 4

74LS47N Digit 7-Segment Traffic Light


Decoder Green 9 and 10

74LS47N Digit 7-Segment Traffic Light


Decoder Red 9 and 10

Figure 4. Block diagram of traffic light control system

a
A b
c
BCD B BCD-to-Seven
Segment d Output lines
input lines
C Decoder e
f
D g

Figure 5. BCD-to-Seven segment decoder

BCD to 7-segment Display Decoder and 74LS47 decoder illuminate on the display the correct
combination of LED segments required numbers or HEX characters
from 0 to 9 and A to F respectively.

A standard 7-segment LED display generally has 8 input connections, one for each LED segment
and one that acts as a common terminal or connection for all the internal display segments. Some
single displays also have an additional input pin to display a decimal point in their lower right or
left hand corner [13].
A. AWAMI et al./ ISITES2017 Baku - Azerbaijan 998

4. Simulation and implementation of traffic light control system

The simulation of PIC 16F877A based traffic light control system is executed in Proteus Design
Suite 8 software. We used Proteus Design Suite to build the model of traffic light control system
for six way and four junction intersection and to implement simulation of the system.
Figure 6 illustrates traffic flow, layout of four-way road intersection. There are four states of
direction for cars and each direction has two states, for example go to straight direction has North
– South and South – North states, respectively turn left direction has East-South and West-North
and so on. The directions to right turn (1,6,7,12) always are open for cars. Each lane direction has
also three lines, East-West and West-East lanes have 1, 2, 3 and 4, 5, 6 lines; North-South and
South-North lanes have 7, 8, 9 and 10, 11, 12 lines.

a) straight path in the East-West and b) straight path in the North-South and
West-East direction North-South direction

c) turning path in the East-South and d) turning path in the North-East and
South-West direction West-North direction

Figure 6. Traffic flow layout

Traffic lights for parallel paths (2 & 5, 8 & 11) go to straight and for parallel paths (3 & 4, 9 & 10)
turn left are controlled by microcontroller using BCD-to-seven segment and 74LS47N decoders.
A. AWAMI et al./ ISITES2017 Baku - Azerbaijan 999

So, traffic lights for parallel paths 1 and 6, 7 and 12 are always open they directly connected to
microcontroller.

The passing time of each parallel paths for go forward and turning left is 35 sec. Implementation
of simulation, for example of paths go forward (2 & 5) is illustrated in Figure 7.
SIMULATION OF TRAFFIC LIGHT CONTROL SYSTEM BASED ON MICROCONTROLLER PIC 16F877A

Microcontroller 16F877A
MICROCONTROLLER 16F877A
13 33
OSC1/CLKIN RB0/INT R1
14 34
OSC2/CLKOUT RB1 Y1
35
RB2 G1
2 36
R8 RA0/AN0 RB3/PGM
3 37
Y8 RA1/AN1 RB4 R2
4 38
G8 RA2/AN2/VREF-/CVREF RB5 Y2
5 39
R9 RA3/AN3/VREF+ RB6/PGC G2
6 40
RA4/T0CKI/C1OUT RB7/PGD Y9
7
G9 RA5/AN4/SS/C2OUT R1 R1 R7 R7
15
RC0/T1OSO/T1CKI B0
8 16
RE0/AN5/RD RC1/T1OSI/CCP2 B1
9 17
RE1/AN6/WR RC2/CCP1 B2 Y1 Y1 Y7 Y7
10 18
RE2/AN7/CS RC3/SCK/SCL B3
23
RC4/SDI/SDA B4
1 24
MCLR/Vpp/THV RC5/SDO B5 G1 G1 G7 G7
25
RC6/TX/CK B6
26
RC7/RX/DT B7
19
RD0/PSP0 R3
20
RD1/PSP1 Y3
21
RD2/PSP2 G3
RD3/PSP3
RD4/PSP4
RD5/PSP5
22
27
28
29
R7
Y7
Traffic Light 1 Traffic Light 6 Traffic Light 7 Traffic Light 12
RD6/PSP6 G7
30
RD7/PSP7
PIC16F877A

CONTROL SWITCH 1 CONTROL SWITCH 2 CONTROL SWITCH 3 CONTROL SWITCH 4

Q3 R5 Q5
R3 BC557 R8 BC557 R7 Q7
R1 Q1 R3 1k R9 BC557
R2 BC557 1k 1k
1k

U9
U5 7 13 U13
U1 B4 A QA
1 12 7 13
7 13 B5 B QB B4 A QA
7 13 B4 A QA 2 11 1 12
B4 A QA 1 12 B6 C QC B5 B QB
1 12 B5 B QB 6 10 2 11
B5 B QB 2 11 B7 D QD B6 C QC
2 11 B6 C QC 4 9 6 10
B6
6
C QC
10 B7
6
D QD
10 Q4 5
BI/RBO QE
15
B7
4
D QD
9
B7 D QD 4 9 BC557 RBI QF BI/RBO QE
4 9 BI/RBO QE 3 14 5 15
BI/RBO QE 5 15 LT
U10 QG RBI QF
5 15 RBI QF 3 14
RBI QF 3 14 LT
U14 QG
3
LT
U2 QG
14 LT
U6 QG
B0
7 7447
A QA
13 R6 Q6
7 7447 13 B1
1
B QB
12
G8 BC557 B0 7 7447
A QA
13 R8 Q8
B0
7 7447
A QA
13 R2 Q2 B0 A QA R4 B2
2
C QC
11 1k B1
1
B QB
12
G9 BC557
1 12
1 12 BC557 B1 B QB G3 6 10 2 11
B1 B QB G2 2 11 B3 D QD B2 C QC 1k
2 11 B2 C QC 1k 4 9 6 10
B2 C QC 1k 6 10 BI/RBO QE B3 D QD
6 10 B3 D QD 5 15 4 9
B3 D QD 4 9 RBI QF BI/RBO QE
4 9 BI/RBO QE 3 14 5 15
BI/RBO QE 5 15 LT
U11 QG RBI QF
5 15 RBI QF 3 14
RBI QF 3 14 LT
U15 QG
3 14 LT
U7 QG 7 7447 13
LT
U3 QG B4 A QA
1 12 7 7447 13
7 7447 13 B5 B QB B4 A QA
7 7447 13 B4 A QA 2 11 1 12
B4 A QA 1 12 B6 C QC B5 B QB
1 12 B5 B QB 6 10 2 11
B5 B QB 2 11 B7 D QD B6 C QC
2 11 B6 C QC 4 9 6 10
B6 C QC 6 10 BI/RBO QE B7 D QD
6 10 B7 D QD 5 15 4 9
B7 D QD 4 9 RBI QF BI/RBO QE
4 9 BI/RBO QE 3 14 5 15
BI/RBO QE 5 15 LT
U12 QG RBI QF
5 15 RBI QF 3 14
RBI QF 3 14 LT
U16 QG
3 14 LT
U8 QG 7 7447 13
LT
U4 QG B0 A QA
1 12 7 7447 13
7 7447 13 B1 B QB B0 A QA
7 7447 13 B0 A QA 2 11 1 12
B0 A QA 1 12 B2 C QC B1 B QB
1 12 B1 B QB 6 10 2 11
B1 B QB 2 11 B3 D QD B2 C QC
2 11 B2 C QC 4 9 6 10
B2 C QC 6 10 BI/RBO QE R8 R8 B3 D QD
6 10 B3 D QD 5 15 4 9
B3 D QD 4 9 RBI QF BI/RBO QE R9 R9
4 9 BI/RBO QE R3 R3 3 14 5 15
BI/RBO QE R2 R2 5 15 LT QG RBI QF
5 15 RBI QF 3 14
RBI QF 3 14 Y8 Y8 LT QG
3 14 LT QG 7447
LT QG Y9 Y9
Y3 Y3 7447
Y2 Y2 7447
7447
G8 G8
G9 G9
G3 G3
G2 G2

Traffic Light for Paths 2 & 5 Traffic Light for Paths 3 & 4 Traffic Light for Paths 8 & 11 Traffic Light for Paths 9 & 10

Figure 7. Traffic light is flashing green to go forward for paths 2&5

PIC 16F877A microcontroller and PICkit 3 programmer/debugger are utilized to execute in the
prototype the control of traffic light. The program code for microcontroller realized in C++ on
mikroC PRO for PIC software.

The system operates in two modes – normal and emergency. There are four control switches – CS1,
CS2, CS3 and CS4 for emergency mode. Control switch CS1 is intended for path 2&5, CS2 – for
path 3&4, CS3 – for 8&11 and CS4 – for path 9&10. Once control switches CS1 – CS4 pushed on
triggered, emergency case for each control switch has executed. For example, if emergency case
occurs in path 3&4 control switch CS2 push on triggered and road will open 10 sec. to pass for
emergency cars. In Figure 8 is shown emergency case for path 3&4 is open.

Normal mode operation is applied when traffic congestion occurs in rush hours. In rush hours, the
cars enlarge at the intersection road. Therefore, people waste more time than usual times. The
traffic light is green setting time should be increased for paths with high traffic flow, respectively
traffic light is green setting time reduces for paths with low traffic flow to prevent waste time of
cars.

Let’s consider the system operation in normal mode using Table 1. Turn right direction for paths
1&6, 7&12 is active (value 1) always as Green, it means traffic light is not active as Red for them.
A. AWAMI et al./ ISITES2017 Baku - Azerbaijan 1000

Figure 8. Emergency case for path 3&4 is open

Therefore, once parallel connected 2&5 paths are active as Green (value 1) for direction straight
ahead during 35 sec., all another path are Red (value 0) in this time (Table 1). The demonstration
of this state on prototype is illustrated in Figure 9. The cars can pass from 2&5 paths of intersection
road during 35 sec., until traffic light is flashing Yellow. When traffic light is Yellow for 3 sec.
direction straight ahead for paths 2&5 is closed (Figure 10).

Table 1. Traffic light is flashing Green for paths 2&5

Traffic light Red Yellow Green


1&6 0 zero 1
2&5 0 zero 1
3&4 1 zero 0
7 & 12 0 zero 1
8 & 11 1 zero 0
9 & 10 1 zero 0
A. AWAMI et al./ ISITES2017 Baku - Azerbaijan 1001

Figure 9. Traffic light is flashing Green for paths 2&5 straight ahead

Figure 10. Traffic light is Yellow for paths 2&5 – straight ahead

The operation principle of traffic light for paths 8&11 (value 1) is the same as traffic light for path
2&5. (Table 2 and Figure 11).
A. AWAMI et al./ ISITES2017 Baku - Azerbaijan 1002

Table 2. Traffic light is flashing Green for paths 8&11

Traffic light Red Yellow Green


1&6 0 Zero 1
2&5 1 Zero 0
3&4 1 Zero 0
7 & 12 0 Zero 1
8 & 11 1 Zero 1
9 & 10 1 Zero 0

Figure 11. Traffic light is flashing Green for paths 8&11 – straight ahead

The operation principle of the traffic light for paths 3&4 and 9&10 turning left direction is the same
as the traffic light for paths 2&5 and 8&11.

5. Conclusions and future works

The fast increasing number of passenger vehicles in urban cities and other urbanization activities
has created a huge problem on Turkish metropolitan transportation systems.
A. AWAMI et al./ ISITES2017 Baku - Azerbaijan 1003

This paper is dedicated to solve the problems of traffic congestion at intersection road. The
microcontroller-based traffic light control system is developed for six way and four junctions at
road intersection with arrowed signal for each direction.

The traffic light control system is designed to provide either normal or emergency mode cases for
vehicles and reduce of traffic congestion at intersection road. The simulation of PIC 16F877A
based traffic light control system is executed in Proteus Design Suite 8 software. Proteus Design
Suite software applied to develop schematic diagram and PIC C compiler used is micro C Pro. The
program code for microcontroller realized in C++ on mikroC PRO for PIC software.

There are several advantages of this system:


1. Simplicity, user friendly. Easily programmable.
2. Using of LEDs provide a longer service life, save a lot of energy and has less impact on the
environment
3. Waiting time of cars at intersection reduces. So, it also reduces traffic congestion.
4. Gives a quick response to change in traffic, i.e. highly responsive.

In our future works we are planning to develop multi-agent based control of traffic road network
including not only vehicles, also buses, pedestrain and bicycles in real-time. For this purpose we
are going to:
 use cameras, loop detectors with GPS and Wi-Fi communication for traffic control
system;
 employ reinforcement learning (RL) agent to optimize agent behavior;
 add to simulation platform the options which will give effects to define the occupancy of
vehicle lanes on traffic network roads;
 develop the RL algorithm to provide communication between road intersections to make
green ratio possible and delay time of vehicles should be depend on amount of traffic on
the next intersection.

Acknowledgements

This work was supported by the Karabuk University Scientific Research Projects Unit under the
Support for Overseas Activities Project.

References

[1] Ganiyu RO, Arulogun OT, Okediran OO. Development of a microcontroller-based traffic
light system for road intersection control. International Journal of Scientific & Technology
Research, 2014;3(5):200-212.
[2] Wang FY and Tang S. Artificial societies for integrated and sustainable development of
metropolitan systems. IEEE Intelligent Systems, 2004;19(4):82-87.
A. AWAMI et al./ ISITES2017 Baku - Azerbaijan 1004

[3] Pang J. Review of microcontroller based intelligent traffic light control, in Emerging
Technologies for a Smarter World (CEWIT), 12th International Conference & Expo, IEEE,
2015;1-5.
[4] Reddy KK, Jagadeesh GP and Reddy VS. Traffic signals generation with bicolor LEDs
using PIC 18F series microcontroller. International Journal of Embedded Systems and
Applications, 2011;1(2): 11-17.
[5] Lighthill MJ, Whitham GB. On kinematic waves. II. A theory of traffic flow on long
crowded roads. in Proceedings of the Royal Society of London A: Mathematical, Physical
and Engineering Sciences. The Royal Society: 1995.
[6] Helbing D et al. Micro-and macro-simulation of freeway traffic. Mathematical and
computer modelling, 2002;35(5-6):517-547.
[7] Gazis DC, Traffic Theory. Boston: Kluwer Academic Publishers; 2002.
[8] Nagel K, Schreckenberg M. A cellular automaton model for freeway traffic. Journal de
physique I. 1992;2(12): 2221-2229.
[9] Wooldridge M. An introduction to multiagent systems. John Wiley & Sons; 2009.
[10] Wiering M et al. Intelligent traffic light control. Institute of Information and Computing
Sciences. Utrecht University, 2004.
[11] Dia H. An agent-based approach to modelling driver route choice behaviour under the
influence of real-time information. Transportation Research Part C: Emerging
Technologies, 2002;10(5):331-349.
[12] Papageorgiou M et al. Review of road traffic control strategies. Proceedings of the IEEE,
2003;91(12):2043-2067.
[13] Microchip, PICmicro™ Mid-Range MCU Family Reference Manual. Microchip
Technology Inc., 1997; 1(1):35-14.

Você também pode gostar