Você está na página 1de 24

El Contador Digital

Introducción.
En electrónica es bastante frecuente verse necesitado de contabilizar eventos y por tanto
se requiere utilizar un contador, en nuestro caso se tratará de un contador electrónico
digital. Por otra parte, en nuestros días estamos rodeados de dispositivos que disponen de
algún tipo de contador digital, incluso en la mayoría de los electrodomésticos vienen
equipados con uno. Un contador digital, básicamente consta de una entrada de impulsos
que se encarga de conformar (escuadrar) las señales, de manera que el conteo de los
pulsos no sea alterado por señales no deseadas, las cuales pueden falsear el resultado
final. Estos impulsos son acumulados en un contador propiamente dicho cuyo resultado, se
presenta mediante un visor que puede estar constituido por una serie de sencillos dígitos
de siete segmentos o en su caso mediante una sofisticada pantalla de plasma.

Nosotros empezaremos por considerar un circuito de entrada que nos permita tomar la
señal motivo del conteo, para lo cual hemos de pensar en la forma de tomar la señal a
medir, de modo que le demos a ésta una forma adecuada que nos asegure una cuanta
correcta.

Para que el mencionado circuito sea lo más universal posible es importante:

 Tener la entrada de alta impedancia (Z). Nuestro circuito no debería absorber


demasiada señal para no inducir errores.
 Dicha señal, la deberemos escuadrar de forma segura.

El circuito constará de un separador de corriente continua mediante un condensador


cerámico de baja capacidad (47nf/400V), para detectar las señales de alta frecuencia,
separando la alta tensión, si es el caso. La salida, se conectará a un diferenciador
constituido por una puerta lógica, para una mayor seguridad dicha puerta será un
disparador Schmitt (triger Schmitt). Si utilizamos un transistor, éste debe ser de alta
velocidad, similar al 2N2222. En la figura 01, se presenta el esquema sinóptico de un
contador de dos dígitos formado por dos contadores (74HCT192 o 74LS192), dos
decodificadores (74HCT48 o 74LS48) y sus correspondientes visualizadores (display)
MAN74 o FND500.
Fig. 01 Esquema sinóptico

Adaptador de señal.
El circuito de la figura 02, representa la entrada descrita, en la figura 03, más elaborada,
se aprecia el circuito de entrada completo que, puede servirnos en la mayoría de los casos,
en la figura 04, se presenta un nuevo circuito con mejores prestaciones, al que se le a
añadido el mencionado disparador Schmitt, formado por la puerta de alta velocidad
74HCT14 (6 inversores Schmitt). En el cual resaltamos el punto (A) ya que en él, los
impulsos detectados aún no están totalmente escuadrados, como ocurre con los obtenidos
a su salida.

Fig. 02

Fig. 03
Fig. 04

En la figura 02, se pueden apreciar dos componentes, el condensador separa la tensión


continua y el resistor que eleva la impedancia lo suficiente para nuestro cometido. En la
figura 03, se propone un circuito que utiliza un transistor como seguidor de emisor con un
limitador de tensión a 6V, mediante el diodo zener, al que le sigue un nuevo transistor
separador que mejora la salida, permitiendo así, un mayor impedancia de entrada, algo
imprescindible en estos casos y una alimentación con un amplio margen.

En la figura 04, los limitadores de tensión son los dos diodos D1 y D2, su punto común
como se aprecia, está conectado a la base de un transistor mediante un divisor de tensión
formado por R2 y R4 y la salida del transistor T1 como seguidor de emisor, nos da la señal
que utilizaremos para el contador, no obstante, se ha intercalado un inversor o puerta
triger-Schmitt para escuadrar al máximo dicha señal.

El contador.
Hasta aquí, hemos visto cómo detectar y escuadrar los impulsos que posteriormente se
han de contar, un paso importante. Ahora, trataremos el que considero corazón del
contador propiamente dicho, es decir, la parte del circuito que se encarga de contar,
almacenar y acumular cada pulso de la cuenta al siguiente y si es el caso cambiar de
década, pero será mejor empezar por el principio.

Más adelante analizaremos en profundidad los circuitos que componen un contador, en


estos momentos nos centraremos en lo que se necesita para construir un contador unido a
un visor, en el que se muestre los totales contados.

El circuito básico que se use, dependerá de la tecnología disponible, esto lo podemos apreciar
mejor en la tabla siguiente:
FAMILIA TIPO Frec. Vol. Decodificador Relación V/C
+ velocidad +
TTL 74LS192 32 MHz 5,5 74LS48
consumo
- velocidad -
CMOS CD4510B 4 MHz 3 ÷12 CD4511
consumo
54 + velocidad -
HCTLS 74HCTLS192 3÷6 74HC48
MHz. consumo

El circuito digital 74LS192 contador decimal.


Atendiendo a que la velocidad es muy importante y en cualquier momento se puede
requerir esta capacidad, nos centraremos en la opción de la serie 74HCTLS192 o en su
defecto por la 74LS192 ya que se trata del mismo dispositivo, lo único que cambia es el
consumo, la tensión de trabajo y poco más.

El dispositivo 'LS192, constituye un contador asíncrono reversible con entrada paralela,


preparado para efectuar el conteo decimal en código binario BCD, que además dispone de
preselección de carga.

Para cargar las salidas a un determinado estado, se aplican los datos a las entradas DA,
DB, DC y DD y se aplica el nivel bajo L a la patilla 11 'load', esta operación de carga es
independiente del reloj y del estado del contador. Por ejemplo: cargar el preselector a 9,
esto quiere decir que en las líneas de datos pondremos los siguientes niveles: 1001, donde
DA1, DB0, DC0 y DD1, es decir DA-DD al positivo y DB-DC al negativo.

En la figura 07, se muestra la disposición de las patillas de este circuito integrado. Para
más información, a cerca del funcionamiento de este dispositivo, 74HCT192 puedes
pulsar sobre este enlace, donde se presenta una aplicación y la configuración de las
señales de entrada y salida E/S en modo serie o cascada.

Fig. 07

El circuito de la figura 08, es una aplicación práctica que, se complementará añadiendo 3


puertas NAND correspondientes a un 74LS00, una de ellas conectada a su vez como
inversor, o sea, sus dos entradas unidas además de unos pocos elementos, como 1
pulsador de puesta a cero PAC, 4 preselectores, 1 conmutador arriba-abajo 'Up-Down' y
unas resistencias de 1k5 de 1/4 W. También se puede hacer que el contador se ponga a
una determinada cuenta de forma automática, esto lo dejo para que se investigue por quien
este interesado.

Obsérvese que, las entradas ABCD, están forzadas a positivo (permanentemente


conectadas a +Vcc mediante sendas resistencias [1k ]), esto es necesario, si queremos
que no haya saltos en la cuenta, debidos a diversas señales e interferencias espúreas que,
suelen afectar bastante a los circuitos integrados cuando no se utiliza este método,
además recomiendo, aunque no se muestra en el circuito, aplicar un condensador
cerámico tipo lenteja de 100nf/100V (marcados como 104), a los pines de alimentación de
cada circuito integrado del montaje.

Fig. 08
Esquema del contador para 1 dígito.

Como se desprende del circuito, para incrementar el número de dígitos, tan solo habrá
que añadir otros tantos contadores como dígitos se deseen y conectarlos en serie o
cascada, prescindiendo de las subsiguientes puertas de los nuevos contadores, o sea, las
salidas Sc y Sb a las respectivas entradas Eu y Ed del siguiente contador, conectando las
patillas de carga del mismo modo a sus respectivos interruptores, de igual forma todas las
patillas de puesta a creo, utilizaran el mismo y único pulsador de PAC.

Por otra parte, se encuentran los CD4511 o el 74LS48, convertidores o decodificadores


de BCD a 7 segmentos, el primero se trata de un dispositivo de la serie CMOS por ser el
más típico al igual que por ser compatible con los HCT y el segundo de la serie TTL. Esto
es si se decide utilizar los dígitos a 7 segmentos led de ánodo común, como el MAN72 o
FND500, pongo por caso.

En la figura 09, se presenta la imagen correspondiente al circuito impreso visto por la cara
de los componentes, el cual puede utilizarse para generar la placa de circuito impreso,
atención, obsérvese el punto indicando la patilla 1, de cada circuito integrado en el circuito
impreso.
Fig. 09

Nota.- Si alguien desea hacer una adaptación para presentar la cuenta del contador para
tres dígitos mediante un display del tipo plasma, ésta página está abierta a sugerencias y
aportaciones de los lectores.

Contador Digital con preselección.


El contador que abordaremos en esta sección, estará dotado de un preselector que nos
permitirá indicarle que cuenta máxima debe hacer y de este modo a su salida
dispondremos de un pulso, con el que podremos ejecutar una orden, bien con la ayuda de
un relé o mediante una señal luminosa u otro sistema digital al que le indiquemos cuando
debe realizar una nueva función.

El contador digital que proponemos, permitirá realizar la cuenta aditiva y regresiva,


dependiendo de lo que en cada momento necesitemos en nuestro programa de trabajo. La
utilidad de un contador digital que cuente en ambos sentidos, tiene una mayor ventaja si le
añadimos un sistema que nos permita utilizar la señal de fin de cuenta para que a la salida
podamos poner en marcha o parar un proceso que dependa directamente de dicha cuenta.

Basándonos en el circuito integrado que hemos visto en la segunda parte, como es el


74LS192, si vemos el circuito de la figura 08 y la descripción que allí se hace, podemos
deducir que para cada década (dígito), son necesarios los mismos componentes, es decir:

1- Display de 7 segmentos (tener en cuenta su polaridad), ánodo común o cátodo


común.
1- Decodificador de 7 segmentos con la salida para ánodo o cátodo común (el
74LS48 es de salida H y el 74LS47 de salida L).
1- Un contador decimal bidireccional (up/down) con preselector en paralelo, como el
74LS192.
1- Un preselector decimal codificado en BCD (a ser posible rotativo, esto último no es
imprescindible).
En esencia poco más tendremos que añadir, si bien deberemos tener en cuenta los
siguientes puntos:

- En primer lugar, tendremos en cuenta cuantos dígitos vamos a disponer, en nuestro caso
dos.
- Los preselectores que necesitaremos dependerá de los dígitos que hayamos
considerado.
- Los display que utilicemos, si utilizamos los comerciales de 1" (una pulgada) o los
fabricamos nosotros mediante dos o tres lámparas por segmento o tubos fluorescentes de
encendido rápido.
- La corriente de consumo del equipo también dependerá de estos elementos, tengamos
en cuenta que los dispositivos implicados en cada dígito se repiten para cada década y
puede ser considerable.
- Por último, sería conveniente disponer de un tablero de control desde el cual, deberemos
poder manejar los diferentes pulsadores, conmutador de avance o retroceso o los distintos
selectores.

En principio y solo en principio, podemos estar ante un control de tanteo de un marcador


de un evento deportivo, esto se tratará en otra lección que ya tenemos previsto poner a
disposición de los interesados. En este momento, vamos a continuar con nuestro contador
digital de dos décadas para alcanzar una cuenta máxima de 100 (tener en cuenta que el
00, también es una cuenta), o si apuramos el sistema, podremos llegar con dos dígitos
hasta 1000, pero no 1001.

Ahora presentamos el circuito de la figura 07 de la anterior sección, para mayor


comprensión, en ella podemos diferenciar tres partes fundamentales:

Fig. 08 Contador digital con preselector.

Un punto muy importante a considerar con mucha atención es la parte encerrada en un


cuadro que hemos denominado 'Control de cuenta', esta sencilla disposición de estas tres
puertas NAND (que pueden pertenecer a un 74LS00), un conmutador de dos posiciones y
una resistencia de 1k, son la parte esencial de comando del contador en la forma más
simple y que al mismo tiempo nos permite la mayor garantía de buen funcionamiento.

Otro punto de los tres enumerados es el formado por el preselector y el propio contador
decimal 74LS192. Estas dos piezas son las responsables de la que podemos considerar
forma concreta de conteo particular al que podemos dar lugar, basándonos en la
disposición exacta del preselector.

Veamos con detalle lo que queremos decir con la posición del preselector, si utilizamos uno
pequeño de los llamados 'switch dual-in line' de 4 u 8 contactos que van en un dispositivo similar a
un circuito integrado, como los representados en la figura 08, hemos de tener en cuenta que el
usuario ha de conocer muy bien contar en binario, ya que no todos son capaces de hacer una
conexión adecuada con soltura.

CUENTA D C B A
5 0 1 0 1
7 0 1 1 1
9 1 0 0 1
3 0 0 1 1
4 0 1 0 0
8 1 0 0 0
6 0 1 1 0

Naturalmente para nosotros es bastante sencillo de comprender este sistema de contar y


fácilmente podemos deducir que un 1 significa que el contacto en cuestión, según la citada
figura, lo tendremos que dejar al 'aire' como decimos en el argot de la electrónica, en
cambio para cada 0 (cero), deberemos poner en contacto cerrado cada contacto que le
corresponda. Obsérvese la disposición que le hemos dado a los contactos (DCBA), esto es
debido al peso que corresponde a cada línea de entrada al circuito integrado y que se debe
respetar escrupulosamente para su buena comprensión.
Fig. 10 Detalle eléctrico de un decodificador 10 a BCD y muestra real.

En la figura 10, mostramos las características de construcción de un decodificador


rotativo decimal a BCD. Los cuatro círculos concéntricos pretenden ser cada uno un
contacto en el que los tramos de mayor espesor representa las pistas de contacto de la
escobilla que unirá cada uno con el eje central el cual se conectará a masa en nuestro
caso, obsérvese que cada línea se conecta a través de una resistencia de 1k al positivo.
Comparemos las dos imágenes de la figura 10 y observemos la similitud que existe entre
ambas.

Visto el decodificador, resultará más sencillo comprender cómo actúa sobre el circuito
contador decimal integrado en el dispositivo 74LS192, al que lo conectaremos en las
entradas denominadas de preselección. Para cargar las salidas a un determinado estado,
se aplicará la información a las entradas de datos (patillas 15,1,10 y 9), esto nos permite
preseleccionar el inicio de la cuenta. Conectamos la entrada de carga (patilla 11) a nivel
bajo L. Esta función de carga es independiente de la entrada de reloj y del estado del
contador. El 74LS193 es un contador similar al que se describe 74LS192, la diferencia es
que el 74LS193 realiza un conteo en binario puro.

En este dispositivo contador, (las entradas que no reciben impulsos, deben permanecer a
nivel alto H), dispone de dos entradas independientes de reloj, la de conteo (subida 'Up',
patilla 5) y la de descuento (bajada 'down', patilla 4). La patilla 14 'puesta cero' PAC, es la
que nos permite situar el contador a 0, al aplicarle un nivel alto H. Las salidas del contador
cambian de estado durante la transición del nivel L al nivel H en cualquiera de ellas.

La salida de descuento ('borrow' patilla 13) nos presenta un impulso de la misma duración
que el de entrada cuando el contador alcanza la cuenta mínima (estado 0). La salida de
acarreo ('carry', patilla 12) nos dará un impulso de la misma duración que el de entrada al
alcanzar la cuenta máxima (estado 9). Estas dos líneas nos sirven para interconectar con
otros contadores en serie o cascada, permitiendo el acarreo o descuento en las respectivas
décadas.

De manera que cuando hemos cargado el número de partida en el preseleccionador,


debemos cargar dicha cuenta con un impulso L en la patilla de carga (pin 11),
devolviéndolo al estado H. Es decir, cada vez que se lleva a L esta patilla, se iniciará la
cuenta desde ese número preseleccionado.

El Reloj Digital.
El contador que abordaremos en esta sección, como indica el
título, se trata de un reloj. Ahora, podemos abordar la realización
de un reloj digital desde dos perspectivas: El tradicional reloj que
nos muestre los dígitos desde el 1 al 12 o el reloj de números
binarios para complacer a los entusiastas de este tipo menos
visto y sin embargo no menos atractivo.

En principio el planteamiento es el mismo: un generador de pulsos, un contador/divisor


por 10, un contador/divisor por 12, un contador/divisor por 6 y si queremos un preselector
para una alarma. En un caso usaremos, displays y en el otro caso unos diodos LED para
representar los bits. Propongo usar los circuitos integrados de la serie CMOS, por sus
características, en caso de disponer de los clásicos TTL, el lector debe encontrar los
equivalentes.

Reloj digital con diodos LED.


Dependiendo de las exigencias que le pidamos, el generador de impulsos debe ser de
décimas de segundo como ya hemos visto en base de tiempos y el 'segundo método' en
la lección 2, donde podemos aprender cómo conseguir fácilmente los pulsos de 10Hz/s y
1Hz/s. Ver imagen.

Los Contadores.
Como ya he apuntado, son necesarios dos tipos de contadores, las unidades y las
decenas de segundos minutos y horas. Para todos usaremos un contador decimal, el cual
como ya veremos mediante una combinación de puertas podemos adaptarlo a nuestras
necesidades. Otra cuestión a tener en cuenta es, reducir al máximo los componentes
utilizados y aprovechar todos sus recursos.

Como contador vamos a usar un doble contador Binario como puede ser el CD4520B o el
74LS393, el cual se muestra en la figura de la derecha, este contador por sus
características como veremos, lo podemos configurar como divisor por 10 y como divisor
por 6, ambos son los que necesitamos.
La figura de la izquierda
muestra el contador por diez
para los segundos, se puede
apreciar el pulsador para poner
la cuenta a "0" para sincronizar
la hora, este pulsador pone a
cero los segundos y las
décimas de segundo al mismo
tiempo. En la figura de la
derecha se muestra el conexionado del mismo contador para
dividir por seis para la cuenta de las decenas de segundo para los 60 segundos .

De este modo aprovechamos ambos contadores contenidos en el mismo dispositivo y


reducimos al mínimo los circuitos integrados que intervienen en el montaje.

El esquema que se muestra a continuación muestra la configuración para construir un


reloj digital, constituido por 20 diodos LED, el conocido como reloj binario, diodos
dispuestos de forma que se puede leer la hora sumando los bits de cada columna. Si, es
un tanto extraño para la mayoría, sin embargo hay muchos que les gustan las cosas
personalizadas (como algunos dicen "tuneadas").

Click para ampliar

La figura siguiente muestra la disposición de los 20 diodos LED en un panel que


dispondremos para su presentación.
Lista de componentes.
La lista de componentes para construir este reloj digital de lectura binaria es la siguiente:

1 CD4022B - Divisor
1 CD4017B - Divisor decimal Johnson
CD4093B - 4 Puertas NAND Schmit
1
Trigger
3 CD4520B - Doble divisor Binario
2 CD4081B - 4 Puertas AND
10 1N4148 - Diodos pequeña señal
LED ROJO - 5m/m de Alta
21
luminosidad
LED VERDE - 5m/m de Alta
1
luminosidad
BC549C - Transistor universal
1
NPN
2 Diodos Zener de 5'1V 0'5Watios
Pulsadores NO (Normalmente
3
abiertos)
Conmutador dos posiciones (para 50
1
o 60 Hz)
1 Interruptor de red.
Transformador de red de 220-240 / 9
1
+ 9 Voltios 500mA
1 Porta Fusible con fusible de 0'5A
3 Resistencias de 10KOhms 5% 0'5 W
21 Resistencias de 100Ohms 5% 0'5W
4 Resistencias de 2K2Ohms 5% '05W
LECTURA.
La lectura de este tipo de reloj, se efectúa sumando el peso de cada LED encendido en
cada columna, de modo que en la imagen que se muestra debajo, la hora indicada es
mostrada como: 13:50:25

En la foto que sigue (no es mía, aunque sirve al caso), se aprecia un nuevo ejemplo en un
montaje más personalizado y con más detalle.

Reloj con Dígitos.


Para los interesados en lo tradicional, pueden
usar el mismo circuito de base para adaptarlo a los
visualizadores de 7 segmentos, debe realizarse un
cambio en el esquema mostrado más arriba, en el
dual puede apreciarse los puntos dispuestos a la
salida de cada divisor, justo antes de las
resistencias de 100 Ohmios limitadores de los diodos LED, estos puntos se han previsto en
el esquema como referencia para este proyecto.

Este es el punto o mejor dicho, estos son los puntos donde conectaremos las entradas
BCD de los decodificadores que se encargarán de convertir las cuentas de binario a
decimal de los divisores. Los circuitos integrados que se deben usar vienen condicionados
por el tipo de display disponible en el mercado, así pues, para unos displays de cátodo
común se debe usar el CD4511 (es el mas habitual) y si se trata de la serie TTL, puede ser
el 74LS47 para los visualizadores de ánodo común o 74LS48 para los de cátodo común.
Usaré el CD4511, especialmente por que el mismo dispositivo lleva incorporado un
sistema de enganche (latch) para retener la cuenta hasta la siguiente actualización (esto se
hace más necesario para contadores de gran velocidad, ya que en estas condiciones sería
del todo imposible leer la visualización) , un decodificador BCD a 7 segmentos y un
amplificador o driver, lo que reduce al mínimo el espacio físico. Este dispositivo como se ha
dicho, requiere de un visualizador (display) de cátodo común para visualizar los dígitos en
el panel.

En la imagen de abajo, para obtener una mayor claridad se han eliminado ciertas líneas,
creo que se pueden seguir con facilidad y su exclusión nos permite una mejor comprensión
del circuito general, en dicha imagen se puede apreciar la disposición de los CD4511 y los
correspondientes visualizadores. Esta es la versión con 6 displays, en caso de usar sólo 4
displays, deben conectarse a los CD4511 correspondientes (horas y minutos).

Click para ampliar

Los terminales en rojo de la figura, son los que no se usan en el caso del reloj binario, en
cambio son necesarios para este caso del reloj con displays.

Ahora uniendo los dos esquemas electrónicos, dispondremos del circuito del reloj digital
con displays que es la segunda opción que podemos obtener de un reloj. En la figura que
sigue puede verse el circuito completo.

Click para ampliar

El circuito está constituido por dos contadores dobles en código BCD, cada uno maneja
las unidades y decenas de las horas y de los minutos. El circuito integrado 4518B dispone
de estos dos contadores, también posee dos entradas de reloj y dos inhibidores además de
sus respectivos terminales de reset independientes.

Estará dotado de un preselector que nos permitirá indicarle que cuenta máxima debe
hacer y a su salida dispondremos de un pulso, con el que podremos ejecutar una orden
bien con la ayuda de un relé o mediante una señal luminosa u otro sistema digital al que le
indiquemos que nueva función debe realizar .

En otro apartado se pretende hacer el mismo reloj usando un microcontrolador PIC, para
más adelante.

Los contadores digitales.


Hemos descrito y desarrollado circuitos que se pueden construir mediante una
serie de puertas lógicas más o menos compleja siguiendo un patrón o camino
previsto, lo que de alguna manera limita su respuesta a los estímulos externos
según unos estados lógicos concretos. En los siguientes temas, pasaremos a
estudiar una serie de circuitos que responderán a impulsos, los cuales
producirán ciertos estados de toma de decisión según el momento considerado
y procederán con nuevas tareas, esto puede sonar algo extraño y nada más
lejos de la verdad, un simple contador digital con algunas
modificaciones puede responder a 'estímulos' o programas.

Ya se describieron los flip-flop y sus variantes en la lección 5.


Veamos algunas de las cosas que se pueden realizar con una serie
de flip-flop conectados en cadena y la ayuda de unas puertas lógicas,
una aplicación clásica de los flip-flop son los contadores digitales, que
describiremos con detalle.

Un contador digital, es siempre un contador binario y esto, mientras no se le


condicione seguirá siendo así. El contador digital a la hora de contar, puede
seguir uno de los dos patrones básicos, binario o decimal. Una vez tomada la
decisión el contador realiza su cometido. Si le interesa el tema, en nuestras
páginas, puede encontrar tratados con ejemplo sobre contadores digitales de
variados tipos, contadores de un dígito, contadores de dos dígitos y los
contadores de preselección con cuenta adelante y atrás.

Analizando un contador digital cuyo símbolo se muestra a la derecha, de la


circuitería interna que nos interesa es que, está compuesto por una serie
concreta de flip-flop y puertas conectados de modo que sigan un patrón. Así
pues, un flip-flop básicamente es un divisor por dos, ya que necesita de dos
impulsos de entrada para que su salida Q cambie de estado dos veces.
Consideremos su funcionamiento, si Q = 0, con el primer impulso de entrada,
el dato 1 pasa a la salida, lo que significa que en un principio era 0 y ahora es
1, para volver a dejar la salida a 0, es necesario un nuevo impulso que
devuelva a Q a su estado inicial que era Q = 0. De manera que se confirma lo
descrito, así pues, dos flip-flop conectados en cadena, es decir, la salida de uno
conectada a la entrada del otro, los ha convertido de hecho en un divisor por
cuatro, siga el planteamiento anterior para obtener la conclusión de su
funcionamiento.

El circuito interno de un 74LS73 o un homologo en CMOS, el 4027, ambos


están compuestos por dos simples flip-flop J-K independientes, con todas sus
entradas y salidas como puede comprobarse en las hojas características de
sus fabricantes.

Con todo lo comentado podemos comprender mejor el siguiente paso; el


primer (flip-flop J-K) FF1 se dispara cuando sus entradas J y K están a nivel 1.
La interconexión del flip-flop J-K en estas condiciones (sus entradas J = K = 1),
como ya se ha comentado, se le conoce como un flip-flop T. Al observar el
diagrama de tiempos de la figura 8-04, se comprenderá que la secuencia de
pulsos de bajada de reloj queda dividida por dos en FF1 y se produce una
división por dos en FF2, o sea, cada dos pulsos de bajada de FF1 hace
avanzar un paso a FF2, del mismo modo ocurre con cada dos de bajada de
FF2, avanzará un paso FF3 y de igual forma ocurre con FF3 y FF4.

Fig. 8-03
Existen muchos tipos de contadores como ya se ha comentado, sin embargo
consideramos que en esencia todos ellos se basan en el mismo principio y su
características son las que definen su propia configuración. Lo que dejamos a
juicio del lector para que investigue en las hojas de características de los
fabricantes.

Codificadores
Recordemos que estamos hablando de sistemas combinacionales, cuando las
salidas son función del estado de las entradas exclusivamente, por lo tanto,
todas las puertas e inversores pertenecen al sistema combinacional ya que sus
salidas dependen directamente del estado de sus respectivas entradas.

También recordaremos que, un contador o registro de desplazamiento


pertenecen al sistema secuencial, puesto que sus salidas son consecuencia de
los estados previos y no solo de los impulsos de reloj, a esto le llamábamos
historia previa.

Los codificadores que podemos encontrar en el comercio, son circuitos


integrados con los cuales convertiremos una serie de señales binarias, en un
sistema que responda un código previamente determinado. Un buen ejemplo
puede ser los contactos de un teclado numérico. Los 10 números presentan
sus respectivas 10 señales, con un codificador podemos convertir a sólo cuatro
señales (BCD) para representar cualquiera de los 10 números, es la
codificación decimal a BCD. El conocido código Gray, hace exactamente eso,
codificar unas señales y presentarlas en dicho código Gray.

Estas son las fases que constituyen para diseñar un codificador de decimal a
BCD.

1. Las entradas y salidas.


2. Las ecuaciones lógicas que presentaran las salidas.
3. Tabla de verdad.
4. Simplificación e implementación de las ecuaciones de las salidas.

Desarrollemos un teclado de 16 contactos que puede servir para entrar datos en


hexadecimal a un programador. Este decodificador propuesto presenta 16 entradas y 4
salidas. Las ecuaciones serán:

Ecuaciones de las teclas o entrada Salidas


T1 + T3 + T5 + T7 + T9 + TB + TD + TF = A
T2 + T3 + T6 + T7 + TA + TB + TE + TF = B
T4 + T5 + T6 + T7 + TC + TD + TE + TF = C
T8 + T9 + TA + TB + TC + TD + TE + TF = D

Más explicita parece la tabla de verdad que fácilmente se deduce de estas ecuaciones y
que se presenta a continuación.
Entradas Salidas
Teclas 0 1 2 3 4 5 6 7 8 9 A B C D E F
0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 A
0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 B
0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 C
0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 D

Debido a la simplicidad del caso, no se requiere simplificar las ecuaciones de


salida y pasaríamos directamente a la ejecución del circuito utilizando puertas
OR.

Otra forma más sencilla y económica de implementar las ecuaciones lógicas,


pasa por crear una matriz de diodos de pequeña señal. Esta matriz, se realiza
cuando en la tabla anterior se encuentra un 1, uniendo una línea vertical
[entrada] con una línea horizontal [salida], mediante un diodo, necesitaremos
utilizar 32 diodos como se aprecia en la siguiente figura.

El principio de los codificadores es el mismo para todos, se reduce unos datos


de entrada, estos pasan por un sistema que modifica sus características y
como consecuencia se obtiene unos datos de salida, codificados. Por supuesto
estos datos serán nuevamente modificados, es decir, decodificados para volver
a interpretarlos.

Decodificadores
Un decodificador es un elemento lógico que nos permite extraer la
información o datos contenida en una señal o conjunto de ellas. Normalmente
es un circuito integrado digital que permite convertir un código binario
codificado en otro sin codificar.

Hay dos grupos en los que clasificar los decodificadores:

1. Los que proporcionan una única solución (salida) que depende de


las entradas.
2. Los que proporcionan varias soluciones por cada combinación de
sus entradas.
A un decodificador le llegan dos líneas de entrada y presenta a su salida
cuatro líneas, este decodificador pertenece al primer grupo. Veamos en un
ejemplo sobre un decodificador de dos entradas, los pasos a seguir para
diseñar cualquier tipo de decodificador, son los siguientes:

1. En el ejemplo, el decodificador tiene dos entradas de peso


creciente, que llamaremos A y B. Las combinaciones posibles de A y B,
son cuatro por lo que tiene cuatro salidas.
2. Tabla de verdad.
3. Las ecuaciones lógicas obtenidas por análisis de la tabla de
verdad.
4. Implementación de las ecuaciones lógicas de salida con puertas
convencionales.
Ecuación Salida
AB S0
AB S1
AB S2
AB S3

La tabla de verdad que se deriva de estas ecuaciones lógicas es tan evidente


que no la presentamos y pasamos directamente al circuito lógico siguiente, con
puertas convencionales.

Otros ejemplos más complejos los puede ver en las hojas de características
del fabricante de los decodificadores siguientes: 74LS138, 74LS143, 74LS145,
etc.

Multiplexores
En el campo de las telecomunicaciones el multiplexor se utiliza como un
dispositivo que puede recibir varias entradas y transmitirlas por un medio de
transmisión en modo conmutado o compartido. Para lo cual, lo que hace es
dividir el medio de transmisión en múltiples canales, de manera que varias
entradas puedan comunicarse de forma simultánea. Una señal que está
multiplexada, deberá demultiplexarse en el otro extremo del medio para
aprovecharla, comúnmente se llama decodificarla.
En su forma más básica se compone de dos entradas de datos A y B, una
salida de datos y una entrada de control. Cuando la entrada de control se pone
a 0 lógico, la señal de datos A es conectada a la salida y cuando la entrada de
control se pone a 1 lógico, la señal de datos B es la que se conecta a la salida.
Asimilemos, 2 entradas, 1 salida y 1 línea de control.

Los circuitos multiplexores, son dispositivos digitales semejantes a un


conmutador, tienen muchas entradas y una única salida por la que entregará la
señal de la entrada que se encuentre activa. El multiplexor es un circuito digital
compuesto de puertas, las cuales están controladas por unas líneas llamadas
de control que se usan para direccionar la entrada correspondiente hasta la
salida en cada momento.

Así pues, se trata de sistemas digitales combinacionales con varias entradas


de datos y una salida, por donde aparecen los datos de la entrada
seleccionada con las líneas de control o auxiliares, en algunos tipos dispone de
una salida complementaria, puede considerarse como un conversor paralelo-
serie.

Dependiendo del número de entradas, el multiplexor dispondrá de ciertas líneas de


control, así, para uno de cuatro entradas, se necesitan dos líneas de control. Estas líneas
de control, son en realidad un decodificador de direcciones ya que según su situación
dará paso a la señal de la dirección de entrada y sólo a esa, inhabilitando al resto de las
entradas.

Salidas=> S S
Eo # # A A
E1 1 # B B
E2 # 1 C C
E3 1 1 D D
C0 C1

Como se puede apreciar, hay cierta aproximación entre un circuito digital


multiplexor y uno decodificador, la semejanza se puede apreciar mejor al
comparar las figuras, la del decodificador anterior y la del multiplexor siguiente.
La diferencia principal es que, las puertas AND usan una entrada más y todas
las salidas lo hacen por una puerta OR. Este procedimiento es el que se debe
seguir al considerar la posible aplicación de un multiplexor. La mayoría de los
multiplexores disponen de una línea auxiliar llamada Inhibidora, con la que se
bloquea o no la salida.
Como se deduce de la tabla de verdad, un multiplexor de 4 entradas necesita
2 líneas de control y por lo tanto un multiplexor de 8 entradas precisará de 3
líneas de control, con la combinación de las cuales se seleccionará la entrada
datos que se transmitirá a la salida. El símbolo lógico de uno de 8 entradas, es
el siguiente:

Tabla de verdad para 8 entradas.

C B A strobe d0 d1 d2 d3 d4 d5 d6 d7 y w
x x x 1 x x x x x x x x 0 1
0 0 0 0 0 x x x x x x x 0 1
0 0 0 0 1 x x x x x x x 1 0
0 0 1 0 x 0 x x x x x x 0 1
0 0 1 0 x 1 x x x x x x 1 0
0 1 0 0 x x 0 x x x x x 0 1
0 1 0 0 x x 1 x x x x x 1 0
0 1 1 0 x x x 0 x x x x 0 1
0 1 1 0 x x x 1 x x x x 1 0
1 0 0 0 x x x x 0 x x x 0 1
1 0 0 0 x x x x 1 x x x 1 0
1 0 1 0 x x x x x 0 x x 0 1
1 0 1 0 x x x x x 1 x x 1 0
1 1 0 0 x x x x x x 0 x 0 1
1 1 0 0 x x x x x x 1 x 1 0
1 1 1 0 x x x x x x x 0 0 1
1 1 1 0 x x x x x x x 1 1 0

En conclusión, como se puede ver en la tabla de verdad, la entrada Strobe,


para obtener una salida estará a 0 siempre por lo tanto lo debemos tener en
cuenta.
La función de un multiplexador da lugar a diversas aplicaciones:

1. Selector de entradas.
2. Serializador: Convierte datos desde el formato paralelo al formato serie.
3. Trasmisión multiplexada: Utilizando las mismas líneas de conexión, se
transmiten diferentes datos de distinta procedencia.
4. Realización de funciones lógicas: Utilizando inversores, se consigue diseñar
funciones complejas, de un modo más compacto que con las tradicionales
puertas lógicas.

Con la aplicación del multiplexado a las salidas de un puerto del PIC, es


posible controlar varios LEDs o displays usando únicamente unas cuantas
líneas del puerto, este método se usa para ahorrar componentes y espacio en
las aplicaciones.

Demultiplexores
Los demultiplexores son circuitos cuya función en cierto modo, es justamente
la inversa de los multiplexores, ya que estos reciben los datos digitales de
información por una única línea y mediante unas líneas auxiliares de control
entregan los datos de la entrada, por una de las líneas de salida que dispone.
Para determinar cual de las salidas es en la que aparecen los datos de
información, es donde intervienen las líneas auxiliares de control. Así que, un
demultiplexor actúa como un conversor Serie-Paralelo.

Este es el sinóptico que representa el demultiplexor:

Por lo tanto, para un demultiplexor de cuatro salidas S 0-S3, se necesitan dos


líneas de control C0 y C1 y una de entrada de señal E. En cierto modo desde el
punto de vista de las señales, podemos considerar que las líneas C 0-C1 y E,
son entradas y salidas las S0-S3. Este es el esquema del demultiplexor descrito
y su tabla, donde Z significa alta impedancia del triestado.
ENTRADAS SALIDAS
C0 C1 E S0 S1 S2 S3
0 0 E E Z Z Z
1 0 E Z E Z Z
0 1 E Z Z E Z
1 1 E Z Z Z E

Un circuito demultiplexor es el 74LS138 con una entrada y ocho salidas usado


para decodificar las posiciones de memoria en un microcontrolador por
ejemplo, otro bastante usado es el decodificador de BCD a 7 segmentos como
el 74LS47.

Conclusión.
Las líneas de entrada de señal E y las líneas de control Cx, respecto de los
multiplexores y demultiplexores, deben ser sincronizadas para alcanzar la
máxima efectividad y evitar así, los típicos errores que se producen en las
transmisiones-recepciones de datos, a parte de los producidos por capacidades
y otros.

Es decir, por la línea de datos E están llegando datos permanentemente, esto


exige que las líneas de control C deben tener una frecuencia de rastreo
adecuada a la de llegada de datos por E, en caso contrario se perderá algún
paquete de datos y en consecuencia habrá errores en la operación.

Comparadores de magnitud
Son circuitos digitales que detectan la igualdad entre dos números binarios A
y B, formados por n bit y en caso contrario, nos indican si A>B, A=B o A<B. La
operación necesaria es la comparación de magnitud entre la suma y la resta
aritméticas, así pues, los comparadores son una parte esencial en circuitos de
lógica aritmética.

El comparador de magnitud más sencillo es el de un número de un bit, el cual da lugar


a las mencionadas tres salidas A>B [M], A<B [m] y A=B [I]. La tabla de verdad del
comparador de un número de un bit es la siguiente.

Entradas Salidas
A B > = <
0 0 0 1 0
1 0 1 0 0
0 1 0 0 1
1 1 0 1 0

Las ecuaciones lógicas que corresponden a las salidas del comparador de magnitud se
deducen de la tabla de verdad y se muestran a continuación y el circuito que lo
implementa se ve debajo:
M = A· B
m = A· B
= A· B
I
+ A· B
Donde:
M= Mayor, m= menor e
I= igual

Otro circuito que puede servir de muestra al lector es el correspondiente al


comparador de 4 bits 74LS85 como muestran las hojas de características del
fabricante.

Como ya se ha comentado con anterioridad, estas lecciones pretenden ser de


alguna manera iniciadoras de una forma de analizar y seguir unos criterios a la
hora de plantearse cómo resolver un proyecto. Y además, con la esperanza de
que su seguimiento pueda orientar y servir de experiencia a futuros
profesionales.

Você também pode gostar