Você está na página 1de 3

Tempus Timing Signoff Solution

Distributed STA with integrated layout fixing

The Cadence ® Tempus™ Timing Signoff Solution is the fastest static timing analysis (STA) tool in the industry today
with unique distributed processing and cloud capabilities enabling hundreds of CPUs to quickly complete even
the largest designs. With full foundry certification and a comprehensive set of advanced capabilities, the Tempus
solution delivers SPICE-accurate results to hundreds of customers across a broad range of design types: from the
largest 7nm designs, to high-volume mobile designs, and mixed-signal chips on mature processes.

Overview Hierarchical Chip


The Tempus solution is a modern tool
designed to tackle the most advanced
A B
timing requirements including full
signal integrity (SI) analysis, statis-
tical variation (SOCV), multi-mode
and multi-corner analysis, static and C
dynamic power, and glitch.

More than just an analysis tool,


the Tempus solution is also deeply
integrated with Cadence’s Innovus™
Implementation System and Voltus™
IC Power Solution. By tightly coupling
design implementation with timing A A B
signoff, the Tempus solution speeds
timing convergence throughout the
design flow and greatly reduces the Block scope model for
block A with context of C
time to design closure.
surrounding interface logic

Key Features and Benefits


Top Scope
• Industry’s fastest runtimes with
advanced distributed STA to over Figure 1: SmartScope hierarchical models allow physical ECO optimization
of paths crossing hierarchical boundaries
100 CPUs and the cloud
• Integrated with Innovus • Concurrent multi-mode and multi- • SmartScope™ hierarchical
Implementation System for faster corner (CMMMC) technology abstraction models provide the
timing closure with physically-aware delivers 5X faster runtime without same accuracy as flat STA in a
signoff timing ECO any loss in accuracy fraction of the runtime and support
• Integrated with Voltus IC Power full in-context timing ECO
• Support for accurate statistical
Solution for timing-aware IR-drop on-chip variation (SOCV) analysis • Integrated with Cadence Virtuoso®
fixing and ultra-low voltage effects full-custom design platform with
• Fully certified down to 7nm at cross-probing of timing paths
• Automatic parasitic extraction with
leading foundries between the timing report and
Cadence’s Quantus™ extraction
layout
Tempus Timing Signoff Solution

Distributed Processing and After


Before
Multi-Threading
Every Tempus timing job is naturally multi-
threaded for faster execution on 16 CPUs
and more. But the Tempus solution also
has the unique capability to distribute an
STA job across multiple separate machines Timing-Aware IR-Drop Fixing
that each take advantage of multi-
threading in their own memory space.
This delivers significantly faster runtimes
and reduces the memory requirements for
each machine. Distributed STA is essential
to analyze today’s extremely large designs
in acceptable time and for execution in
the cloud. Figure 2: Tempus ECO is integrated with Innovus physical implementation and Voltus voltage drop
analysis for signoff accurate timing and power optimization
Concurrent Multi-Mode Multi-
Corner Statistical OCV Tempus ECO with Innovus
Implementation
The Tempus solution can automatically The Tempus solution offers statistical OCV
distribute STA jobs for each mode/corner to reduce unwarranted pessimism caused The Tempus solution is integrated with
combination (or “view”) across multiple by on-chip variation. The Tempus solution the Innovus Implementation System
machines where each machine times the supports both the Cadence SOCV library where it drives signoff-accurate and physi-
full design for a single view. In the end, format and the Liberty Variation Format cally aware timing ECO that significantly
all the results are collected into a consoli- (LVF) for statistical library characterization. shortens time to market, reduces power
dated report. The Tempus solution can also accurately consumption, and eliminates wasteful
model and calculate the ultra-low voltage timing margin.
Even more powerful is the Tempus effects at 7nm and below that cause the
solution’s unique concurrent multi-mode The Tempus ECO fixes setup, hold, glitch,
statistical variation to be non-symmet-
multi-corner (CMMMC) capability, which and design rule violations. It can also
rically skewed about the mean (third
processes multiple views concurrently in optimize the design for dynamic power,
moment).
a single STA job. CMMMC exploits the static power, or total power.
commonalities between views to deliver
Hierarchical Models and For more than just logical changes, the
a 5X faster runtime without any loss in
SmartScope Tempus ECO is physically aware and can
accuracy and with a full, detailed timing
see physical congestion and understand
report for each view. This technology is a The Tempus solution has dramatically
all placement rules so that cells are always
critical accelerator when analyzing designs improved runtime and capacity so almost
legally placed. It is also routing aware so
across many mode/corner combinations. all design sizes can now be analyzed
that buffers are inserted directly on an
flat. However, it is common for subsets
existing route for optimal timing conver-
Signal Integrity of the design to go through final itera-
gence.
tions before tapeout and the Tempus
Every Tempus license includes a complete
solution offers a range of hierarchical
SI analysis engine that calculates all Timing-Aware IR Drop with
modeling options including traditional
relevant timing windows and their
static models like extracted timing model
Voltus Power
overlaps to correctly model crosstalk
(ETM) and interface logic model (ILM). But The close integration between the
effects on timing.
to facilitate signoff-accurate ECO changes, Tempus, Innovus, and Voltus solutions
theTempus solution offers SmartScope allows voltage drop analysis, and IR
Power Analysis models for block and top-level. Scope drop issues to be automatically fixed
The Tempus solution will report models dynamically abstract only those by downsizing aggressors that cause IR
the dynamic (switching) and static portions of the design that a user wants drop on critical paths, while preserving
(non-switching) power used by a circuit. to analyze and do it in a full chip-level timing. The same integration also makes
This calculation can be made based on context, including SI and all physical it possible to analyze clock jitter with IR
user-supplied activity files or through effects. drop and activity effects included.
vectorless activity profiles.

www.cadence.com 2
Tempus Timing Signoff Solution

Integration with Virtuoso Cadence Services and Support


Platform • Cadence application engineers can
The Tempus solution is available answer your technical questions by
within Cadence’s Virtuoso custom telephone, email, or Internet—they can
design platform through seamless also provide technical assistance and
data integration with its Open Access custom training.
database. The Tempus solution is available • Cadence-certified instructors teach
as part of the Virtuoso Digital Signoff more than 70 courses and bring
package for small embedded digital logic their real-world experience into the
in mixed-signal designs. It includes cross- classroom.
probing of timing paths between a timing
• More than 25 Internet Learning
report and the Virtuoso layout editor,
Series (ILS) online courses allow you
automatic abstraction of digital compo-
the flexibility of training at your own
nents, parasitic extraction, and SDC
computer over the Internet.
integration.
• Cadence Online Support gives you
Common User Interface 24x7 online access to a knowledgebase
of the latest solutions, technical
A new common user interface is shared
documentation, software downloads,
with the Innovus, Voltus, and Tempus
and more.
solutions to streamline flow development
and simplify user trainings across a • For more information, please visit
complete Cadence digital full flow. www.cadence.com/support for
support and www.cadence.com/
training for training.

Cadence software, hardware, and semiconductor IP enable electronic systems and semiconductor companies
to create the innovative end products that are transforming the way people live, work, and play. The
company’s Intelligent System Design strategy helps customers develop differentiated products—from
chips to boards to intelligent systems. www.cadence.com
© 2018 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence, the Cadence logo, and the other Cadence marks found at
www.cadence.com/go/trademarks are trademarks or registered trademarks of Cadence Design Systems, Inc. All other trademarks are the property
of their respective owners. 10545 08/19 SA/DM/PDF

Você também pode gostar