Você está na página 1de 7

"INFORME DE LABORATORIO: PROGRAMACION CON VHDL”

Moreno Jennifer.
jenniferlorenamp@ufps.edu.co
UFPS (Universidad Francisco de Paula Santander)
1. INTRODUCCIÓN

El lenguaje VHDL en la Ingeniería Electrónica


necesita de una constante realización práctica de
ejercicios, tanto de simulación como de
implementación. Por ello, este trabajo describe la
metodología llevada a cabo para conseguir que el
aprendizaje de este lenguaje de descripción
hardware sea efectivo y rápido, especialmente en lo
referido al VHDL. Se han empleado dispositivos
FPGA de Xilinx y el simulador ISIM para la
realización del laboratorio.
Figura 1. Codigo VDHL compuerta YES
2. OBJETIVOS

- Conocer el entorno de la herramienta CAD


Integrated Software Environment (ISE)
Desing Suite 10.1 de Xilinx para simular e
implementar circuitos lógicos.
- Manejar el editor de esquemas Engineering
Capture System (ECS) de ISE Desing Suite
10.1 de Xilinx para comprobar su
funcionalidad. Figura 2. Diagrama logico
- Relacionarse con el lenguaje de
programación VDHL, ya que es de gran
importancia en la asignatura de Diseño 3.2 Implemente una compuerta NOT cuya
Digital. entrada es A y salida Z; compruebe su tabla
- Reforzar los conocimientos adquiridos en de verdad mediante el simulador ISim.
clases y compararlos con la herramienta Ise.

3. ACTIVIDAD.
Mediante el uso del software ISE 14.7, usando los
comandos VHDL y los computadores del
laboratorio (no usar el personal), resuelva los
siguientes numerales
3.1 Implemente una compuerta YES cuya
entrada es A y salida Z; compruebe su tabla
de verdad mediante el simulador ISim.
Figura 3 . codigo VDHL compuerta NOT
Figura 7. Diagrama logico

Figura 4 . Diagrama logico

3.3 Implemente una compuerta AND cuyas


entradas son A y B y salida Z; compruebe su Figura 8. Tabla verda compuerta AND
tabla de verdad mediante el simulador ISim.

Figura 9. Ecuacion logica compuerta AND

Figura 5 . codigo VDHL compuerta AND

Figira 10. Mapa K compuerta AND

3.4 Implemente una compuerta OR cuyas


entradas son A y B y salida Z; compruebe su
tabla de verdad mediante el simulador ISim.

Figura 6. Diagrama copuerta AND


Figura 14. Ecuación lógica compuerta OR

Figura 11. Codigo VDHL compuerta OR


Figura 15. Tabla de verdad compuerta OR

Figura 16. Mapa K compuerta OR


Figura 12. diagrama compuerta OR
3.5 Implemente una compuerta NAND
cuyas entradas son A y B y salida Z;
compruebe su tabla de verdad mediante el
simulador ISim.

Figura 13. Diagrama lógico

Figura 17. Codigo VDHL compuerta NAND


Figura 21. Mapa K compuerta NAND
3.6 Implemente una compuerta XOR cuyas
entradas son A y B y salida Z; compruebe su
tabla de verdad mediante el simulador ISim.

Figura 18. Diagrama compuerta NAND

Figura 22. Codigo VDHL compuerta XOR

Figura 19. Diagrama logico NAND

Figura 20. Tabla verdad compuert NAND

Figura 23. Diagrama compuerta XOR


Figura 24. Diagrama logico Compiuerta XOR Figura 28. Codigo VDHL compuerta XNOR

Figura 25. Ecuacion logica compuerta XOR

Figura 26. Tabla verdad compurta XOR


Figura 29. Diagrama compuerta XNOR

Figura 30. Diagrama lofico XNOR

Figura 27. Mapa K compuerta XOR

3.7 Implemente una compuerta XNOR cuyas


entradas son A y B y salida Z; compruebe su
tabla de verdad mediante el simulador ISim.
Figura 31. Tabla verda compuerta XNOR
Figura 32. Mapa K
BIBLIOGRAFÍA
- WAKERLY John F. Diseño digital
principios y prácticas. Prentice hall
Figura 33. Ecuacion logica hispanoamericano S.A. México 1992,
segunda edición.
4 CONCLUSIONES.
- M. L. Lopez Vallejo y J. L. Ayala Rodrigo,
- El software ISE es una herramienta de gran
FPGA: Nociones básicas e implementación.
ayuda para la solución de circuitos lógicos.
Universidad Politecnica de Madrid.
- Departamento de Ingeniería Electrónica.
- se reafirman los conocimientos adquiridos Abril 2004
en las clases de diseño digital.
- Se reforzó los conocimientos en
programación VDHL, con este
lenguaje se hace cualquier tipo de
actividad en menor tiempo,
agregando a esto, la veracidad con la
que opera ISE.

Você também pode gostar