Você está na página 1de 32

Soluzione prova di Elementi di Elettronica 24-6-2003

1) Eseguire la somma dei seguenti numeri binari espressi in complemento a 2. 0000 0100 + 1111 1001
= 1111 1101
2) Applicando i teoremi dell’algebra Booleana, semplificare ed espandere la seguente espressione in una somma di prodotti di letterali
A + B + ( A + D) ⋅ ( B + ( D + C )
= A ⋅ B + ( A + D) ⋅ ( B + ( D ⋅ C ) = A ⋅ B + A ⋅ B + A ⋅ D ⋅ C + D ⋅ B + D ⋅ B = A + D ⋅ C + D ⋅ B
3) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali e selezionare una copertura minima
della funzione (non completamente specificata) descritta da
ON-set=(0,1,3,4,5,15) DC-set=(2,7,10). E=essenziale, M=copertura minima. Siano ABCD le variabili

AB
A AB
CD A
CD
1 1
1 1
1 1
1 1
D D
1 1 1 1 1 1
C C
1 0 0 0

B B
AB EM , AC EM , BCD EM , AD AC EM , BCD EM , AD EM
4) Trovare la funzione realizzata del seguente circuito e disegnare il corrispondente circuito CMOS Vdd

D ⋅ ( A + C ⋅ ( A ⋅ B )) = ABC + D OUT

B
A C

6) Trovare il valore di Vin e le zone di funzionamento dei dispositivi Vdd


Vγ=0.6V, β1=β2=20 µA/V2, VTHn=1V, VTHp= - 1V, Iin= 30 µA, Vdd=5V M2

Vin= 4.4V, ID1= 115.6µA, ID2= 0 µA, ID3= 145.6µA D3


Vin

Iin
M1: SAT , M2: OFF , D3: ON
M1

5) Determinare il valore dei segnale x e c nei seguenti istanti di soluzione


ns ∆ a b c
tempo t= 90ns, t= 105ns, t= 120ns 0 +0 0 0 0
100 +1 0 1 0
entity es1 is port (c: out bit); end es1; 150 +1 1 1 0
architecture behavioural of es1 is 160 +0 1 1 1
signal a,b,x: bit;
begin
x<=a and b ;
c<=x after 10 ns; entity es3 is
stimulus: process port (c: out bit);
begin a <= '0'; b <= '1'; end es3;
wait for 100 ns;
a <= '1'; architecture behavioural of es3 is
wait; signal a,b: bit;
end process; begin
end behavioural; c<=a or b after 10 ns;
stimulus: process
soluzione begin
ns ∆ a b x c b <= '0';
0 +0 0 0 0 0 wait for 50 ns;
0 +1 0 1 0 0 a <= '0';
100 +1 1 1 0 0 wait for 50 ns;
100 +2 1 1 1 0 b <= '1';
110 +0 1 1 1 1 wait for 50 ns;
a <= '1';
entity es2 is wait;
port (c: out bit); end process;
end es2;architecture behavioural of es2 is end behavioural;
signal a,b,x: bit;
begin soluzione
core: process(a) ns ∆ a b c
begin 0 +0 0 0 0
c<= a or b after 10 ns; 100 +1 0 1 0
end process; 110 +0 0 1 1
stimulus: process
begin 150 +1 1 1 1
b <= '0';
wait for 50 ns;
a <= '0';
wait for 50 ns;
b <= '1';
wait for 50 ns;
a <= '1';
wait;
end process;
end behavioural;
Soluzioni dell’ Esame di Elementi di Elettronica 26-6-2003

1) Eseguire la somma dei seguenti numeri binari espressi in complemento a 2.


0001 0111 (2310) + 1100 1110 (-5010) = 1110 0101 (-2710)
0001 0110 (2210) + 1100 1110 (-5010) = 1110 0100 (-2810)
0011 0111 (5510) + 1010 1010 (-8610) = 1110 0001 (-3110)
0001 0101 (2110) + 1100 1010 (-5410) = 1101 1111 (-3310)

2) Applicando i teoremi dell’algebra Booleana, semplificare ed espandere la seguente espressione in una somma di prodotti di letterali
( A + B) + (C ⋅ D) + ( B + (C ⋅ D)) ⋅ ( D + C ) = B ⋅ C + C ⋅ D
( D + (C ⋅ B )) ⋅ ( B + C ) + ( A + D ) + (C ⋅ B) = D ⋅ C + C ⋅ B
(C + B) + ( A ⋅ D) + ( B + ( A ⋅ D)) ⋅ ( D + A) = B ⋅ A + A ⋅ D
( A + B) + (C ⋅ D) + ( A + (C ⋅ D)) ⋅ ( D + C ) = A ⋅ C + C ⋅ D

3) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali e selezionare una copertura minima
della funzione E=essenziale, M=copertura minima. Siano ABCD le variabili
1) ON-set=(0,1,2,3,7,9,11,15) BD EM , CD EM , AB EM
2) ON-set=(0,1,2,3,8,9,10,11,12,14,15). B EM , AC EM , AD EM
3) ON-set=(4,5,7,9,11,12,13,14,15) BC EM , AD EM , AB EM , BD EM
4) ON-set=(0,2,6,8,9,10,12,13,14) C D EM , BD EM , AC EM , AD

1) 2) 3) 4)

4) Trovare i valori di X1, X2, X3, X4 affichè il circuito implementi la seguente funzione
A⋅ B : X1=1, X2=1, X3=0, X4=1
X1
A⋅ B : X1=1, X2=1, X3=1, X4=0
X2
A+ B X1=0, X2=1, X3=0, X4=0 X3
A: X1=1, X2=1, X3=0, X4=0
S
X4

A A B B

5) Determinare il valore dei segnali c e s nei seguenti istanti di tempo entity es4 is
port (s: out bit);
end es4;
architecture behavioural1 of es4 is
1) t= 65ns c=1 s=0 t= 75ns c=1 s=1 signal a,b,c: bit;
begin
2) t= 65ns c=1 s=1 t= 75ns c=1 s=1 c <= a after 10 ns;
1) s <= c and b after 10 ns;
2) s <= c or b after 10 ns;
3) t= 65ns c=1 s=1 t= 75ns c=1 s=0 3) s <= c nand b after 10 ns;
4) s <= c nor b after 10 ns;
stimulus: process
4) t= 65ns c=1 s=0 t= 75ns c=1 s=0 begin
b <= '0';
a <= '0';
wait for 50 ns;
b <= '1';
a <= '1';
wait;
end process;
end behavioural;
6) Trovare il valore di Vx e Vout le correnti sui diodi e sui MOSFET e le zone di funzionamento dei dispositivi
Vγ=0.6V, β4=β5=β6=20 µA/V2, VTHn=0.5V, VTHp= - 0.5V,
1) Iin= 10 µA, Vdd=5V:

Vx=1.5V Vout=3.5V ID1= ID2= ID3= 0, ID4= ID5= ID6= 10µA,

D1= D2= D3= OFF, M4= M5= M6= SAT

2) Iin= 10 µA, Vdd=3V:

Vx=1.2V Vout=1.8V ID1= ID2= ID3= 14.9, ID4= ID5= ID6= 4.9µA,

D1= D2= D3= ON, M4= M5= M6= SAT

3) Iin= 10 µA, Vdd=3.3V:

Vx=1.8V Vout=1.5V ID1= ID2= ID3= 20µA, ID4= ID5= ID6= 10µA,

D1= D2= D3= ON, M4= M5= M6= SAT

4) Iin= 15 µA, Vdd=5V:

Vx=3.275V Vout=1.725V ID1= ID2= ID3= 0, ID4= ID5= ID6= 15µA,

D1= D2= D3= OFF, M4= M5= M6= SAT

Vdd Vdd Vdd Vdd

Iin Iin
M4 M4
D3 M5 D3 M5
M6 M6
D2 D2
Vx Vx Vx Vx
Vout D1 Vout Vout D1 Vout
D3 D3
Iin Iin
D2 D2
M4 M5 M4 M5 M6 M6
D1 D1

1) 2) 3) 4)
Soluzioni dell’ Esame di Elementi di Elettronica 8-7-2003

1) Eseguire la somma dei seguenti numeri binari espressi in complemento a 2.

00110111 + 11101110 = 00100101


00010000 + 11001110 = 11011110
01110111 + 11101010 = 01100001
00000101 + 11011010 = 11011111

2) Scrivere come somma canonica, semplificarla nella forma minima utilizzando i teoremi dell’algebra booleana

A B C Z A B C Z A B C Z A B C Z
0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 1
0 0 1 1 0 0 1 1 0 0 1 0 0 0 1 1
0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1
0 1 1 1 0 1 1 0 0 1 1 0 0 1 1 0
1 0 0 0 1 0 0 1 1 0 0 0 1 0 0 0
1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 0
1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0
1 1 1 0 1 1 1 0 1 1 1 1 1 1 1 0
1 2 3 4

1) A’B’C’ + A’B’C + A’BC = A’B’ + A’C


2) A’B’C + AB’C’ + AB’C = B’C + AB’
3) A’B’C’ + A’BC’ + ABC = A’C’ + ABC
4) A’B’C’ + A’B’C + A’BC’ = A’B’ + A’C’

3) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali e selezionare una copertura minima
della funzione E=essenziale, M=copertura minima. Siano ABCD le variabili
1) ON-set=(0,1,2,5,8,9,11,12,13,15) B’C’ (EM) + C’D (EM) + AD (EM) + AC’ (EM) + A’B’D’ (EM)
2) ON-set=(0,3,4,6,9,14). A’C’D’ (EM) +A’BD’ (EM) +AB’C’D (EM) +A’B’CD (EM) +BCD’ (EM)
3) ON-set=(4,5,7,10,11,13,14) A’BC’ (EM) +A’BD (EM) + BC’D (EM) + ACD’ (EM) + ACB’ (EM)
4) ON-set=(0,2,3,4,5,6,7,8,10,12) B’D’ (EM) + C’D’ (EM) + A’B (EM) + A’C (EM) +A’D’

1) 2) 3) 4)

4) Trovare le funzioni Z1, Z2, Z3, Z4


Vdd
Vdd

Vdd
Vdd
R1
R1

R2 R2

R3 R3

R4 R4

1 A B C Z1 Z2 Z3 Z4 2 A B C Z1 Z2 Z3 Z4
Vdd
Vdd

Vdd
Vdd
R1
R1

R2
R2

R3
R3

R4
R4

3 4 A B C Z1 Z2 Z3 Z4
R1= AC’ R2= AC R3= A’B’ R4= B

1) Z1= R1 + R4 = AC’ + B 3) Z1= R1 + R4 = AC’ + B


Z2= R1 + R3 = AC’ + A’B’ Z2= R2 + R3 = AC + A’B’
Z3= R4 = B Z3= R3 = A’B’
Z4= R2 = AC Z4= R2 = AC

2) Z1= R1 + R4 = AC’ + B 4) Z1= R1 = AC’


Z2= R2 + R4 = AC’ + B Z2= R4 = B
Z3= R4 = B Z3= R1 + R3 = AC’ + A’B’
Z4= R3 = A’B’ Z4= R2 + R4 = AC + B

5) Determinare il valore dei segnali a, b, c nei seguenti istanti


a b c entity es5 is
end;
1) t= 51ns 1 0 0 architecture behavioural of es5 is
t= 61ns 1 0 1 signal a,b,c: bit;
t= 91ns 1 0 0 begin
process(a,c)
2) t= 61ns 1 0 1 begin
t= 71ns 1 1 1 b<= a and c after 10 ns;
t=101ns 1 0 1 end process;
process(a,b)
begin
3) t= 71ns 1 1 1 c<= a and (not b) after 10 ns;
t= 81ns 1 1 0 end process;
t= 91ns 1 0 0 process
begin
4) t= 51ns 1 0 0 a <= '0';
t= 81ns 1 1 0 wait for 50 ns;
t= 101ns 1 0 1 a <= '1';
wait;
end process;
end behavioural;

Vdd Vdd
M3
6) Trovare il valore di Vout e le correnti sui MOSFET e le zone di funzionamento dei dispositivi
R1
Vdd=5V, VTHn = 1V, VTHp = -1V, λ=0, β1=β2=β3=20 µA/V2, R2
Vout
1) Va = 3 V, Vin = 2 V, R1 = 10KΩ, R2 = 10KΩ

M3= OFF, M1= M2= SAT Vin M1 M2 Va

β1 β2
(Vin − Vtn ) =10µA, (Va − Vtn ) =40µA,
2 2
ID1= ID2=
2 2

Vout= Vdd – R1 [ID1 + ID2 + (Vout-Vin)/R2 ] Vout = [Vdd + Vin R1/R2 - R1 (ID1 + ID2 )] / (1+ R1/R2)

Vout= [5 + 2 – 0.5] / 2 = 3.25 V IR1 = (Vdd - Vout)/R1 = 175µA

2) Va = 2 V, Vin = 3 V, R1 = 10KΩ, R2 = 10KΩ

M3= OFF, M1= M2= SAT

ID1= 40µA, ID2= 10µA,

Vout== [5 + 3 – 0.5] / 2 = 3.75 V IR1 = 125µA,

3) Va = 3 V, Vin = 2 V, R1 = 20KΩ, R2 = 20KΩ

M3= OFF, M1= M2= SAT

ID1=10µA, ID2= 40µA,

Vout= [5 + 2 – 1] / 2 = 3 V IR1 = 100µA

4) Va = 2 V, Vin = 3 V, R1 = 20KΩ, R2 = 20KΩ

M3= OFF, M1= M2= SAT

ID1= 40µA, ID2= 10µA,

Vout== [5 + 3 – 1] / 2 = 3.5 V IR1 = 75µA


Soluzioni dell’ Esame di Elementi di Elettronica 18-9-2003

1) Individuare i terminali di source, gate e drain, trovare la zona di funzionamento e la corrente.


VA=2V, VB=0V, VC=2V, VTH = 1V, λ=0, β = 20 µA/V2

Terminale A: Source. Drain ; Gate. Zona di funzionato: ON sat. ; ON triodo . OFF .


A
C Terminale B: Source ; Drain. Gate. Corrente di drain: 10 µA
B Terminale C: Source . Drain. Gate;
VA=2V, VB=4V, VC=4V, VTH = 1V, λ=0, β = 20 µA/V2
Terminale A: Source ; Drain. Gate. Zona di funzionato: ON sat. ; ON triodo . OFF .
Terminale B: Source. Drain ; Gate. Corrente di drain: 10 µA
Terminale C: Source. Drain. Gate;
VA=2V, VB=5V, VC=4V, VTH = 1V, λ=0, β = 20 µA/V2
Terminale A: Source ; Drain. Gate. Zona di funzionato: ON sat. ; ON triodo . OFF .
Terminale B: Source. Drain ; Gate. Corrente di drain: 10 µA
Terminale C: Source. Drain. Gate;
VA=2V, VB=3V, VC=2V, VTH = 1V, λ=0, β = 20 µA/V2
Terminale A: Source ; Drain. Gate. Zona di funzionato: ON sat. . ON triodo . OFF ;
Terminale B: Source. Drain ; Gate. Corrente di drain: 0 µA
Terminale C: Source. Drain. Gate;

2) Scrivere come somma canonica, semplificarla nella forma minima utilizzando i teoremi dell’algebra booleana

A B C Z A B C Z A B C Z A B C Z
0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 0
0 0 1 0 0 0 1 1 0 0 1 1 0 0 1 1
0 1 0 0 0 1 0 1 0 1 0 0 0 1 0 0
0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 1
1 0 0 1 1 0 0 0 1 0 0 1 1 0 0 0
1 0 1 1 1 0 1 1 1 0 1 1 1 0 1 1
1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0
1 1 1 1 1 1 1 0 1 1 1 0 1 1 1 1
1 2 3 4

1) A’B’C’ + AB’C’ + AB’C + ABC = B’C’ + AC


2) A’B’C’ + A’B’C + A’BC’ + AB’C = B’C + A’C’
3) A’B’C’ + A’B’C + AB’C’ + AB’C = B’
4) A’B’C + A’BC + AB’C + ABC = C

3) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali e selezionare una copertura minima
della funzione E=essenziale, M=copertura minima. Siano ABCD le variabili
1) ON-set=(3,5,7,11,13,15) BD (EM) + CD (EM)
2) ON-set=(8,9,10,11,12,13). AC’ (EM) + AB’ (EM)
3) ON-set=(2,3,6,7,10,14) A’C (EM) + CD’ (EM)
4) ON-set=(0,1,2,3,4,5) A’B’ (EM) + A’C’ (EM)
4) Trovare i valori di X1, X2, X3, X4 affichè il circuito implementi la funzione
S=A’ + B + C’: X1=0, X2=0, X3=C, X4=0 X1
S=A’ + B’ + C’: X1=0, X2=0, X3=0, X4=C X2
S=A + B’ + C’: X1=0, X2=C, X3=C, X4=0 X3
S=A’ + B’ + C: X1=0, X2=0, X3=0, X4=C’
X4 S

A A B B

5.1) entity es6 is end; b <= '1';


architecture behavioural of es6 is wait for 10 ns;
signal a,b,c, x, y: bit; c <= '0';
begin wait for 10 ns;
process(c) begin c <= '1';
x<= a and b after 2 ns; wait;
end process; end process;
process(x) begin end behavioural;
y<= b and x after 5 ns;
end process; soluzione a b c x y
process 1) t= 21ns 1 1 1 0 0
begin t= 31ns 1 1 0 0 0
a <= '0'; b <= '0'; c <= '0'; t= 35ns 1 1 0 1 0
wait for 10 ns; t= 39ns 1 1 0 1 1
a <= '1'; c <= '1'; t= 41ns 1 1 1 1 1
wait for 10 ns;
t= 49ns 1 1 1 1 1
5.2) entity es6 is end; c <= '0';
architecture behavioural of es6 is wait for 10 ns;
signal a,b,c, x, y: bit; c <= '1';
begin wait;
process(c) begin end process;
y<= a and b after 2 ns; end behavioural;
end process;
process(y) begin soluzione a b c x y
x<= b and y after 5 ns; 1) t= 21ns 1 1 1 0 0
end process; t= 31ns 1 1 0 0 0
process t= 35ns 1 1 0 0 1
begin t= 39ns 1 1 0 1 1
a <= '0'; b <= '0'; c <= '0'; t= 41ns 1 1 1 1 1
wait for 10 ns;
a <= '1'; c <= '1'; t= 49ns 1 1 1 1 1
wait for 10 ns;
b <= '1';
wait for 10 ns;
wait for 10 ns;
5.3) entity es6 is end; c <= '0';
architecture behavioural of es6 is wait for 10 ns;
signal a,b,c, x, y: bit; c <= '1';
begin wait;
process(c) begin end process;
x<= a and b after 2 ns; end behavioural;
end process;
process(c) begin soluzione a b c x y
y<= b and x after 5 ns; 1) t= 21ns 1 1 1 0 0
end process; t= 31ns 1 1 0 0 0
process t= 35ns 1 1 0 1 0
begin t= 39ns 1 1 0 1 0
a <= '0'; b <= '0'; c <= '0'; t= 41ns 1 1 1 1 0
wait for 10 ns;
a <= '1'; c <= '1'; t= 49ns 1 1 1 1 1
wait for 10 ns;
b <= '1';
b <= '1';
5.4) entity es6 is end; wait for 10 ns;
architecture behavioural of es6 is c <= '0';
signal a,b,c, x, y: bit; wait for 10 ns;
begin c <= '1';
process(c) begin wait;
y<= a and b after 2 ns; end process;
end process; end behavioural;
process(c) begin
x<= b and y after 5 ns; soluzione a b c x y
end process; 1) t= 21ns 1 1 1 0 0
process t= 31ns 1 1 0 0 0
begin t= 35ns 1 1 0 0 1
a <= '0'; b <= '0'; c <= '0'; t= 39ns 1 1 0 0 1
wait for 10 ns; t= 41ns 1 1 1 0 1
a <= '1'; c <= '1';
wait for 10 ns; t= 49ns 1 1 1 1 1
Vdd
6) Trovare il valore di Vout, Vx e le correnti sui MOSFET e le zone di funzionamento dei dispositivi
1) Vdd=6V, VTHn = 1V, VTHp = -1V, λ=0, β1=β2=β3=β4=20 µA/V2, Vin = 2 V M4 M3

M1: SAT, M2: SAT, M3: SAT, M4: OFF


Vout
ID1= 10 µA, ID2= 10 µA, ID3= 10 µA, ID4= 0 µA, Vout= 4V Vx=2 V
M2

2) Vdd=10V, VTHn = 1V, VTHp = -1V, λ=0, β1=β2=β3=β4=20 µA/V2, Vin = 3 V Vx


Vin
M1: SAT, M2: SAT, M3: SAT, M4: OFF
M1
ID1= 40 µA, ID2= 40 µA, ID3= 40 µA, ID4= 0 µA, Vout= 7V Vx=4 V

3) Vdd=6V, VTHn = 1V, VTHp = -1V, λ=0, β1=β2=β3=β4=20 µA/V2, Vin = 4 V


1-2
M1: SAT, M2: SAT, M3: SAT, M4: OFF Vdd

ID1= 10 µA, ID2= 10 µA, ID3= 10 µA, ID4= 0 µA, Vout= 2V Vx=4 V
M1

Vx

4) Vdd=10V, VTHn = 1V, VTHp = -1V, λ=0, β1=β2=β3=β4=20 µA/V , Vin = 7 V2 M2

Vin
M1: SAT, M2: SAT, M3: SAT, M4: OFF Vout

ID1= 40 µA, ID2= 40 µA, ID3= 40 µA, ID4= 0 µA, Vout= 3V Vx=6 V
M4 M3

3-4
Soluzione Esame di Elementi di Elettronica 9-12-2003
1) Scrivere come somma canonica, semplificarla nella forma minima utilizzando i teoremi dell’algebra booleana
A B C Z Somma canonica A’B’C + A’BC’ + AB’C’ + AB’C + ABC
0 0 0 0
A’BC’ + AB’ + AC + B’C
0 0 1 1
Somma minima V DD
0 1 0 1
0 1 1 0
1 0 0 1
1 0 1 1 E BC
1 1 0 0
D
1 1 1 1
BC
2) Scrivere come somma minima la funzione implementata dal seguente circuito CMOS A
Z
Somma minima A’B’ + B’C’ + A’D’E’ +C’D’E’
A C
B

CB D E

3) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali
e selezionare una copertura minima della funzione E=essenziale, M=copertura minima.
Siano ABCD le variabili ON-set = (0,1,2,3,5,7,9,11,15) Vdd

A’B’ (EM) CD (EM) B’D (EM) A’D (EM) Vdd

R1

R2
4) Trovare le funzioni Z1, Z2, Z3, Z4
R3

Z1= AC’ + B
R4
Z2= AC’ + A’B’
Z3= A’B’
Z4= AC’ + A’B
A B C Z1 Z2 Z3 Z4

5) Determinare il valore dei segnali a, b, c nei seguenti istanti clock: process


variable tmp: bit:='1';
begin
entity es_dic_03 is tmp:= not tmp;
end; clk<= tmp;
wait for 10 ns;
architecture behavioural of es_dic_03 is end process;
signal a,b,c, clk: bit; end behavioural;
begin

process(clk) a b c
begin
b<= a after 2 ns; t= 40ns 1 0 0
end process; t= 41ns 1 0 0
c<= b after 2 ns; t= 43ns 1 1 0
process t= 45ns 1 1 1
begin
a <= '0'; t= 80ns 0 1 1
wait for 35 ns; t= 81ns 0 1 1
a <= '1';
wait for 40 ns; t= 83ns 0 0 1
a <= '0'; t= 85ns 0 0 0
wait;
end process;

6) Trovare il valore di Vout, Vx e le correnti sui MOSFET e le zone di funzionamento dei dispositivi
Vdd=6V, Va=2.5V, VTHn = 1V, VTHp = -1V, λ=0, βn=βp=500 µA/V2, Vin = 2.5V, I0 = 1mA , R=500Ω
Vout I0 Vdd
Mn: SAT Mp: SAT
Vin Mp
IDn = 250 µA, IDp= 750 µA Vout= 5.23 V Vx= 0.5 V
Mn Vx Va

R
A) Esame di Elementi di Elettronica 6-7-2004

1) Individuare i terminali di source, gate e drain, trovare la zona di funzionamento e la corrente di drain.
VA=2V, VB=0V, VC=2V, VD=5V, VTH = -1V, λ=0, β = 20 µA/V2
B
Terminale A: Source Drain Gate Zona di funzionato: ON sat. ON triodo OFF
A
Terminale B: Source Drain Gate Corrente di drain: 0 µA N_mosfet P_mosfet

C D Terminale C: Source Drain Gate


VDD

VDD
B
2) Scrivere come somma canonica, semplificarla nella forma
minima utilizzando i teoremi dell’algebra booleana la finzione C D A
implementata dal circuito A X
Y
C B
A ⋅ D ⋅ (B + C) ⋅ A
D
= A + ABD + ACD = A + BD + CD A
A

3) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali e selezionare una copertura minima
della funzione E=essenziale, M=copertura minima. Siano ABCD le variabili ON-set = (1,2,3,4,7,9,11,12,14)

B ⋅ D ( EM ) , A ⋅ C ⋅ D ( EM ) , A ⋅ B ⋅ D ( EM ) , A ⋅ B ⋅ C ( EM ) , B ⋅ C ⋅ D ( EM ) ,
4) Trovare i valori di X1, X2, X3, X4 affichè il circuito implementi la funzione
S= AB + C X1
X2
X1= C X3
X2= 0 X4 S
X3= C
X4= C A A B B

5) Determinare il valore dei segnali a, b, c nei seguenti istanti


d c q
entity test_bench is end;
t= 130ns 0 0 0 architecture behaviour of test_bench is
component ff
t= 190ns 1 1 0 port (c: in bit; d: in bit; q: out bit);
t= 230ns 1 0 0 end component;
signal q,d,c: bit;
t= 270ns 1 1 1 begin
DUT : ff port map (c, d, q);
t= 370ns 1 1 1 clock: process
variable tmp: bit:='1';
t= 390ns 0 1 1 begin
t= 430ns 0 0 0 tmp:= not tmp;
c<= tmp;
wait for 50 ns;
entity FF is end process;
port (c: in bit; d: in bit; q: out bit); stimulus: process
end FF; begin
d <= '0';
architecture behav of FF is wait for 180 ns;
begin d <= '1';
process (c) wait for 200 ns;
begin d <= '0';
if (c='1' and c'event) then wait for 2000 ns;
q<=d; end process;
end if ; end behaviour;
end process;
end;

6) Trovare il valore di Vout, Vx e le correnti sui MOSFET e le zone di funzionamento dei dispositivi. Vdd=5V, VTHn = 1V, VTHp = -1V,
β1=β2=100 µA/V2, β3=50 µA/V2, λ=0, R1=10kΩ, R2=1kΩ, Vin = 3 V, Va = 2.5 V

M1: SAT TRIODO OFF , M2: SAT TRIODO OFF , VDD

M3: SAT R1 M3
TRIODO OFF R2
Va
ID1= 200 µA, ID2= 200 µA ID3= 25 µA Vin Vx Vout

M1 M2
Vout= 2.325 V Vx= 3.0 V
B) Esame di Elementi di Elettronica 6-7-2004

1) Individuare i terminali di source, gate e drain, trovare la zona di funzionamento e la corrente di drain.
VA=2V, VB=5V, VC=2V, VD=5V, VTH = -1V, λ=0, β = 20 µA/V2
B
Terminale A: Source Drain Gate Zona di funzionato: ON sat. ON triodo OFF
A
Terminale B: Source Drain Gate Corrente di drain: 40 µA N_mosfet P_mosfet

C D Terminale C: Source Drain Gate VDD

D VDD

2) Scrivere come somma canonica, semplificarla nella forma A B C


minima utilizzando i teoremi dell’algebra booleana la C X
finzione implementata dal circuito Y
A D
C ⋅ B ⋅ ( D + A) ⋅ C B
C
= C + BCD + ABC = C + BD + AB C

3) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali e selezionare una copertura minima
della funzione E=essenziale, M=copertura minima. Siano ABCD le variabili ON-set = (0,3,4,5,6,9,11,12,14)

D ⋅ B ( EM ) , A ⋅ B ⋅ D ( EM ) , B ⋅ C ⋅ D ( EM ) , A ⋅ C ⋅ D ( EM ) , A ⋅ B ⋅ C ( EM ) ,
4) Trovare i valori di X1, X2, X3, X4 affichè il circuito implementi la funzione
S= A + BC X1
X2
X1= 1 X3
X2= C X4 S
X3= 0
X4= 0 A A B B

5) Determinare il valore dei segnali a, b, c nei seguenti istanti


d c q entity test_bench is end;
architecture behaviour of test_bench is
t= 130ns 0 0 0 component ff
port (c: in bit; d: in bit; q: out bit);
t= 190ns 1 1 1 end component;
t= 230ns 1 0 1 signal q,d,c: bit;
begin
t= 270ns 1 1 1 DUT : ff port map (c, d, q);
clock: process
t= 370ns 1 1 1 variable tmp: bit:='1';
begin
t= 390ns 0 1 0 tmp:= not tmp;
t= 430ns 0 0 0 c<= tmp;
wait for 50 ns;
end process;
entity FF is stimulus: process
port (c: in bit; d: in bit; q: out bit); begin
end FF; d <= '0';
wait for 180 ns;
architecture behav of FF is d <= '1';
begin wait for 200 ns;
process (c,d) d <= '0';
begin wait for 2000 ns;
q<=d; end process;
end process; end behaviour;
end;

6) Trovare il valore di Vout, Vx e le correnti sui MOSFET e le zone di funzionamento dei dispositivi. Vdd=5V, VTHn = 1V, VTHp = -1V,
β1=β2=100 µA/V2, β3=50 µA/V2, λ=0, R1=15kΩ, R2=10kΩ, Vin = 3 V, Va = 2.5 V
VDD
M1: SAT TRIODO OFF , M2: SAT TRIODO OFF , R1 M3
R2
M3: SAT TRIODO OFF Va
Vin Vx Vout

ID1= 200 µA, ID2= 50 µA ID3= 100 µA M1 M2


Vout= 3.0 V Vx= 2.0 V
C) Esame di Elementi di Elettronica 6-7-2004

1) Individuare i terminali di source, gate e drain, trovare la zona di funzionamento e la corrente di drain.
VA=0V, VB=0V, VC=5V, VD=5V, VTH = -1V, λ=0, β = 20 µA/V2
B
Terminale A: Source Drain Gate Zona di funzionato: ON sat. ON triodo OFF
A
Terminale B: Source Drain Gate Corrente di drain: 160 µA N_mosfet P_mosfet

C D Terminale C: Source Drain Gate


VDD

VDD
A
2) Scrivere come somma canonica, semplificarla nella forma
minima utilizzando i teoremi dell’algebra booleana la finzione D C B
B X
implementata dal circuito
Y
D A
B ⋅ C ⋅ ( A + D) ⋅ B
C
= B + ABC + BCD = B + AC + CD B
B

3) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali e selezionare una copertura minima
della funzione E=essenziale, M=copertura minima. Siano ABCD le variabili ON-set = (1,3,4,6,8,9,11,13,14)

B ⋅ D ( EM ) , A ⋅ C ⋅ D ( EM ) , A ⋅ B ⋅ D ( EM ) , A ⋅ B ⋅ C ( EM ) , B ⋅ C ⋅ D ( EM ) ,
4) Trovare i valori di X1, X2, X3, X4 affichè il circuito implementi la funzione
S= ABC X1
X2
X1= 1 X3

X2= C X4 S

X3= 1
X4= 1 A A B B

5) Determinare il valore dei segnali a, b, c nei seguenti istanti


d c q
entity test_bench is end;
t= 130ns 0 0 0 architecture behaviour of test_bench is
component ff
t= 190ns 1 1 1 port (c: in bit; d: in bit; q: out bit);
t= 230ns 1 0 1 end component;
signal q,d,c: bit;
t= 270ns 1 1 1 begin
DUT : ff port map (c, d, q);
t= 370ns 1 1 1 clock: process
variable tmp: bit:='1';
t= 390ns 0 1 1 begin
t= 430ns 0 0 1 tmp:= not tmp;
c<= tmp;
wait for 50 ns;
entity FF is end process;
port (c: in bit; d: in bit; q: out bit); stimulus: process
end FF; begin
d <= '0';
architecture behav of FF is wait for 180 ns;
begin d <= '1';
process (c,d) wait for 200 ns;
begin d <= '0';
if (c='1' and d=’1’) then wait for 2000 ns;
q<=d; end process;
end if ; end behaviour;
end process;
end;

6) Trovare il valore di Vout, Vx e le correnti sui MOSFET e le zone di funzionamento dei dispositivi. Vdd=5V, VTHn = 1V, VTHp = -1V,
β1=β2=100 µA/V2, β3=50 µA/V2, λ=0, R1=10kΩ, R2=1kΩ, Vin = 3 V, Va = 2.5 V

M1: SAT TRIODO OFF , M2: SAT TRIODO OFF , VDD

M3: SAT R1 M3
TRIODO OFF R2
Va
ID1= 200 µA, ID2= 200 µA ID3= 25 µA Vin Vx Vout

M1 M2
Vout= 2.325 V Vx= 3.0 V
D) Esame di Elementi di Elettronica 6-7-2004

1) Individuare i terminali di source, gate e drain, trovare la zona di funzionamento e la corrente di drain.
VA=0V, VB=4V, VC=3V, VD=5V, VTH = -1V, λ=0, β = 20 µA/V2
B
Terminale A: Source Drain Gate Zona di funzionato: ON sat. ON triodo OFF
A
Terminale B: Source Drain Gate Corrente di drain: 50 µA N_mosfet P_mosfet

C D Terminale C: Source Drain Gate VDD

VDD
2) Scrivere come somma canonica, semplificarla nella forma C
minima utilizzando i teoremi dell’algebra booleana la
A B B
funzione implementata dal circuito D X
Y
A C
B ⋅ D ⋅ ( A + C) ⋅ B
B
= B + ABD + BCD = B + AD + CD B
D

3) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali e selezionare una copertura minima
della funzione E=essenziale, M=copertura minima. Siano ABCD le variabili ON-set = (1,3,4,6,9,10,12,14,15)

D ⋅ B ( EM ) , B ⋅ C ⋅ D ( EM ) , A ⋅ C ⋅ D ( EM ) , A ⋅ B ⋅ D ( EM ) , A ⋅ B ⋅ C ( EM ) ,
4) Trovare i valori di X1, X2, X3, X4 affichè il circuito implementi la funzione
S= AC + B X1
X2
X1= C X3
X4 S
X2= 0
X3= 1
X4= 0 A A B B

5) Determinare il valore dei segnali a, b, c nei seguenti istanti


d c q
entity test_bench is end;
t= 130ns 0 0 0 architecture behaviour of test_bench is
component ff
t= 190ns 1 1 0 port (c: in bit; d: in bit; q: out bit);
t= 230ns 1 0 1 end component;
signal q,d,c: bit;
t= 270ns 1 1 1 begin
DUT : ff port map (c, d, q);
t= 370ns 1 1 1 clock: process
variable tmp: bit:='1';
t= 390ns 0 1 1 begin
t= 430ns 0 0 0 tmp:= not tmp;
c<= tmp;
wait for 50 ns;
entity FF is end process;
port (c: in bit; d: in bit; q: out bit); stimulus: process
end FF; begin
d <= '0';
architecture behav of FF is wait for 180 ns;
begin d <= '1';
process (c) wait for 200 ns;
begin d <= '0';
if (c='0' and c'event) then wait for 2000 ns;
q<=d; end process;
end if ; end behaviour;
end process;
end;

6) Trovare il valore di Vout, Vx e le correnti sui MOSFET e le zone di funzionamento dei dispositivi. Vdd=5V, VTHn = 1V, VTHp = -1V,
β1=β2=100 µA/V2, β3=50 µA/V2, λ=0, R1=15kΩ, R2=10kΩ, Vin = 3 V, Va = 2.5 V
VDD
M1: SAT TRIODO OFF , M2: SAT TRIODO OFF , R1 M3
R2
M3: SAT TRIODO OFF Va
Vin Vx Vout

ID1= 200 µA, ID2= 50 µA ID3= 100 µA M1 M2


Vout= 3.0 V Vx= 2.0 V
A) Soluzione Esame di Elementi di Elettronica 26-7-2004
1) Applicando i teoremi dell’algebra Booleana, semplificare ed espandere la seguente espressione in una somma di prodotti di letterali
C + B + (C + D) ⋅ ( B + ( D + A)
= C ⋅ B + (C + D) ⋅ ( B + ( D ⋅ A) = C ⋅B +C ⋅B +C⋅D⋅ A+ D⋅B + D⋅ A = C + D⋅ A+ D⋅B

2) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali
e selezionare una copertura minima della funzione E=essenziale, M=copertura minima.
Siano ABCD le variabili ON-set = (0,1,3,4,5,7,10)
Vdd
A’C’ (EM) A’D (EM) AB’CD’ (EM)
A B
3) Implementare con un circuito CMOS in logica random la seguente funzione C
D
C ⋅ ( D + B ⋅ ( A + D ))
D

D A

D B

4) Trovare i valori di X1, X2, X3, X4 affichè il circuito implementi la seguente funzione
S= A⋅ B ⋅C : X1=1, X2=1, X3=C’, X4=1
X1
X2
X3
X4 S

A A B B

5) Determinare il valore dei segnali x, y, z nei seguenti istanti clock: process


variable tmp: bit:='1';
begin
entity esempio is tmp:= not tmp;
end; clk<= tmp;
wait for 10 ns;
architecture behavioural of esempio is end process;
signal x,y,z, clk: bit; end behavioural;
begin
process(clk,x)
begin x y z
y<= x after 2 ns;
z<= y after 2 ns; t= 40ns 1 1 0
end process; t= 41ns 1 1 0
process
begin t= 43ns 1 1 1
x <= '0'; t= 45ns 1 1 1
wait for 35 ns;
x <= '1'; t= 60ns 1 1 1
wait for 30 ns; t= 66ns 0 1 1
x <= '0';
wait; t= 70ns 0 0 1
end process; t= 75ns 0 0 0

6) Trovare il valore di Vout e le correnti nei MOSFET: Vin=3 V, VA=1.5 V, Vdd=6V,VTHn=0.7V, VTHp=-0.7V,
λ=0, β1 = β2 = 160 µA/V2, β3 = 80 µA/V2, β4 = 200 µA/V2. Vdd

M1: SATURO, M2: SATURO, M3: SATURO, M4: SATURO M4

Vout=3.678 V, Vx=1.5 V, ID1= ID2= 51.2 µA, ID3= 211.6 µA, ID4= 262.8 µA Vout

M2

Vx
VA M3
M1
Vin
B) Soluzione Esame di Elementi di Elettronica 26-7-2004
1) Applicando i teoremi dell’algebra Booleana, semplificare ed espandere la seguente espressione in una somma di prodotti di letterali
A + B + ( A + D) ⋅ ( B + ( D + C )
= A ⋅ B + ( A + D) ⋅ ( B + ( D ⋅ C ) = A⋅ B + A⋅ B + A⋅ D ⋅C + D⋅ B + D⋅C = A + D⋅C + D ⋅ B

2) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali
e selezionare una copertura minima della funzione E=essenziale, M=copertura minima.
Siano ABCD le variabili ON-set = (2,4,5,8,9,12,13)

A C’ (EM) B C’ (EM) A’ B’ C D’ (EM) Vdd

3) Implementare con un circuito CMOS in logica random la seguente funzione A B


D
( D + B ⋅ ( A + D ))
D

D A

D B

4) Trovare i valori di X1, X2, X3, X4 affichè il circuito implementi la seguente funzione
S= A⋅ B ⋅C : X1=C, X2=1, X3=1, X4=1
X1
X2
X3
X4 S

A A B B

5) Determinare il valore dei segnali x, y, z nei seguenti istanti clock: process


variable tmp: bit:='1';
begin
entity esempio is tmp:= not tmp;
end; clk<= tmp;
wait for 10 ns;
architecture behavioural of esempio is end process;
signal x,y,z, clk: bit; end behavioural;
begin
process(clk)
begin x y z
y<= x after 2 ns;
z<= y after 2 ns; t= 40ns 1 0 0
end process; t= 41ns 1 0 0
process
begin t= 43ns 1 1 0
x <= '0'; t= 45ns 1 1 0
wait for 35 ns;
x <= '1'; t= 60ns 1 1 1
wait for 30 ns; t= 66ns 0 1 1
x <= '0';
wait; t= 70ns 0 1 1
end process; t= 75ns 0 0 1

6) Trovare il valore di Vout e le correnti nei MOSFET: Vin=3 V, VA=4.5 V, Vdd=6V,VTHn=0.7V, VTHp=-0.7V,
λ=0, β1 = β2 = 160 µA/V2, β3 = 80 µA/V2, β4 = 200 µA/V2. Vdd

M1: SATURO, M2: SATURO, M3: SATURO, M4: SATURO VA M1 Vin


M3
Vout=2.322 V, Vx=4.5 V, ID1= ID2= 51.2 µA, ID3= 211.6 µA, ID4= 262.8 µA Vx

M2

Vout
M4
C) Soluzione Esame di Elementi di Elettronica 26-7-2004
1) Applicando i teoremi dell’algebra Booleana, semplificare ed espandere la seguente espressione in una somma di prodotti di letterali
B + C + ( B + D) ⋅ (C + ( D + A)
= C ⋅ B + ( B + D) ⋅ (C + ( D ⋅ A) = C ⋅ B + B ⋅C + B ⋅ D ⋅ A + D ⋅C + D ⋅ A = B + D ⋅ A + D ⋅C

2) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali
e selezionare una copertura minima della funzione E=essenziale, M=copertura minima.
Siano ABCD le variabili ON-set = (0,9,10,11,13,14,15)
Vdd
AC (EM) AD (EM) A’ B’ C’ D’ (EM)
A B
3) Implementare con un circuito CMOS in logica random la seguente funzione D
D
D ⋅ (C + B ⋅ ( A + D ))
C

D A

C B

4) Trovare i valori di X1, X2, X3, X4 affichè il circuito implementi la seguente funzione
S= A⋅ B ⋅C + A⋅ B ⋅C : X1=C, X2=C’, X3=1, X4=1
X1
X2
X3
X4 S

A A B B

5) Determinare il valore dei segnali x, y, z nei seguenti istanti clock: process


variable tmp: bit:='1';
begin
entity esempio is tmp:= not tmp;
end; clk<= tmp;
wait for 10 ns;
architecture behavioural of esempio is end process;
signal x,y,z, clk: bit; end behavioural;
begin
process(clk,x)
begin x y z
y<= not x after 2 ns;
z<= y after 2 ns; t= 40ns 1 0 1
end process; t= 41ns 1 0 1
process
begin t= 43ns 1 0 0
x <= '0'; t= 45ns 1 0 0
wait for 35 ns;
x <= '1'; t= 60ns 1 0 0
wait for 30 ns; t= 66ns 0 0 0
x <= '0';
wait; t= 70ns 0 1 0
end process; t= 75ns 0 1 1

6) Trovare il valore di Vout e le correnti nei MOSFET: Vin=3 V, VA=1.5 V, Vdd=6V,VTHn=0.7V, VTHp=-0.7V,
λ=0, β1 = β2 = 80 µA/V2, β3 = 80 µA/V2, β4 = 200 µA/V2.
M1: SATURO, M2: SATURO, M3: SATURO, M4: SATURO Vdd

Vout=3.759 V, Vx=1.5 V, ID1= ID2= 25.6 µA, ID3= 211.6 µA, ID4= 237.2 µA M4

Vout

M2

Vx
VA M3
M1
Vin
D) Soluzione Esame di Elementi di Elettronica 26-7-2004
1) Applicando i teoremi dell’algebra Booleana, semplificare ed espandere la seguente espressione in una somma di prodotti di letterali
C + B + (C + A) ⋅ ( B + ( A + D)
= C ⋅ B + (C + A) ⋅ ( B + ( D ⋅ A) = C ⋅ B + C ⋅ B + C ⋅ D ⋅ A + A⋅ B + D ⋅ A = C + D ⋅ A + A⋅ B

2) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali
e selezionare una copertura minima della funzione E=essenziale, M=copertura minima.
Siano ABCD le variabili ON-set = (2,3,6,7,8,14,15) Vdd
A’ C (EM) B C (EM) A B’ C’ D’ (EM) B A
C
3) Implementare con un circuito CMOS in logica random la seguente funzione
D
C ⋅ ( D + A ⋅ ( B + D ))
D

D B

D A

4) Trovare i valori di X1, X2, X3, X4 affichè il circuito implementi la seguente funzione
S= B ⋅ C : X1=1, X2=C, X3=1, X4=C
X1
X2
X3
X4 S

A A B B

5) Determinare il valore dei segnali x, y, z nei seguenti istanti clock: process


variable tmp: bit:='1';
begin
entity esempio is tmp:= not tmp;
end; clk<= tmp;
wait for 10 ns;
architecture behavioural of esempio is end process;
signal x,y,z, clk: bit; end behavioural;
begin
process(clk)
begin x y z
y<= not x after 2 ns;
z<= y after 2 ns; t= 40ns 1 1 1
end process; t= 41ns 1 1 1
process
begin t= 43ns 1 0 1
x <= '0'; t= 45ns 1 0 1
wait for 35 ns;
x <= '1'; t= 60ns 1 0 0
wait for 30 ns; t= 66ns 0 0 0
x <= '0';
wait; t= 70ns 0 0 0
end process; t= 75ns 0 1 0

6) Trovare il valore di Vout e le correnti nei MOSFET: Vin=3 V, VA=1.5 V, Vdd=6V,VTHn=0.7V, VTHp=-0.7V,
λ=0, β1 = β2 = 80 µA/V2, β3 = 80 µA/V2, β4 = 200 µA/V2.
Vdd
M1: SATURO, M2: SATURO, M3: SATURO, M4: SATURO
Vout=2.241 V, Vx=4.5 V, ID1= ID2= 25.6 µA, ID3= 211.6 µA, ID4= 237.2 µA VA M1
M3
Vin

Vx

M2

Vout
M4
. Soluzione Esame di Elementi di Elettronica 22-9-2004 Nome Cognome

1) Applicando i teoremi dell’algebra Booleana, semplificare ed espandere la seguente espressione in una somma di prodotti di letterali

A⋅ B + B ⋅C + A⋅C + A⋅ B ⋅C = A⋅ B + A⋅C

A⋅ B + B ⋅C + A ⋅C + A⋅ B ⋅C = A⋅ B + A⋅C
B + C + ( B + D) ⋅ (C + ( D + A)
= C ⋅ B + ( B + D) ⋅ (C + ( D ⋅ A) = C ⋅ B + B ⋅C + B ⋅ D ⋅ A + D ⋅C + D ⋅ A = B + D ⋅ A + D ⋅C
C + B + (C + A) ⋅ ( B + ( A + D)
= C ⋅ B + (C + A) ⋅ ( B + ( D ⋅ A) = C ⋅ B + C ⋅ B + C ⋅ D ⋅ A + A⋅ B + D ⋅ A = C + D ⋅ A + A⋅ B

2) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali AB
e selezionare una copertura minima della funzione E=essenziale, M=copertura minima. A
CD
Siano ABCD le variabili
1
ON-set = (0,1,2,3,9,11) A⋅B (E,M) , B ⋅ D (E,M) ................................................... 1 1
D
ON-set = (2,4,6,,10,12,14) C⋅D (E,M) , B⋅D (E,M) 1 1
C
1
ON-set = (1,3,8,9,10,11) A⋅ B (E,M) , B ⋅ D (E,M)

ON-set = (0,4,6,8,12,14) C⋅D (E,M) , B⋅D (E,M) B

5V
3) Trovare i valori delle tensioni Vx, Vy e Vout, una volta esaurito il transitorio di carica o scarica dei condensatori.
Sia VTHn=1V, VTHp=-1V, soglia logica dell’inverter VTL=2.5V. 0V 5V

Vx Vy
Vin Vout
Vin=5V, Vx(t=0)=0 V, Vy(t=0)=0 V: Vx=5V, Vy=4V, Vout=0V

Vin=0V, Vx(t=0)=5 V, Vy(t=0)=5 V: Vx=1V, Vy=1V, Vout=5V

5V
5V 0V
Vin=5V, Vx(t=0)=0 V, Vy(t=0)=0 V: Vx=4V, Vy=4V, Vout=0V
Vx Vy
Vin Vout

Vin=0V, Vx(t=0)=5 V, Vy(t=0)=5 V: Vx=0V, Vy=1V, Vout=5V

4) Determinare il valore dei segnali nei seguenti istanti


entity esempio is t(ps) in1 in2 x y out1 out2
end;
architecture behavioural of esempio is 20 0 0 0 0 0 0
signal in1, in2, x, y, out1, out2, clk, rst: bit;
begin 30 1 0 1 0 0 0
x <= in1 xor in2;
process(clk,rst) 40 1 0 1 1 1 0
begin
if (rst='1') then 50 1 0 1 1 1 1
y<= '0'; out2<= '0'; out1<= '0';
else 60 1 1 0 1 1 1
y<= x after 2 ps;
out2<= y after 2 ps; 70 1 1 0 0 1 0
out1<= in1 after 2 ps;
end if; 80 1 1 0 0 1 0
end process;
process
begin
rst <= '1'; in1 <= '0'; in2 <= '0';
wait for 15 ps;
rst <= '0';
wait for 10 ps;
in1 <= '1';
wait for 30 ps;
in2 <= '1';
wait;
end process;
clock: process
variable tmp: bit:='1';
begin
tmp:= not tmp;
clk<= tmp;
wait for 10 ps;
end process;
end behavioural;
5) Trovare il valore di Vx, Vy, Vout e le correnti nei MOSFET. Vin=4 V, I0=20 µA, Vdd=5V,VTHn=1V, β1 = β2 = 40µA/V2,
R1=30 KΩ, R2=60 KΩ, M1: SAT , M2: SAT ID1= 20 µA, ID2= 20 µA, Vout= 3.8 V, Vx= 2 V, Vy= 4.4 V
R1=40 KΩ, R2=80 KΩ, M1: SAT , M2: SAT ID1= 20 µA, ID2= 20 µA, Vout= 3.4 V, Vx= 2 V, Vy= 4.2 V
R1=80 KΩ, R2=70 KΩ, M1: SAT , M2: SAT ID1= 20 µA, ID2= 20 µA, Vout= 3.6 V, Vx= 2 V, Vy= 3.4 V
R1=70 KΩ, R2=90 KΩ, M1: SAT , M2: SAT ID1= 20 µA, ID2= 20 µA, Vout= 3.2 V, Vx= 2 V, Vy= 3.6 V
Vdd
R1 R2

Vy
M1
Vin
Vout

Vx

M2
I0

6) Implementare con un circuito CMOS in logica random la seguente funzione


Vdd
A + B + (C ⋅ D )
D C

A B D
Soluzione Esame di Elementi di Elettronica 13-12-2004
1) Scrivere come somma canonica, semplificarla nella forma minima utilizzando i teoremi dell’algebra booleana
A B C Z
0 0 0 1
0 0 1 1
0 1 0 1 Somma canonica Z = A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C
Vdd
0 1 1 0
Somma minima: Z = A ⋅ B + A ⋅ C Non connesso Connesso

1 0 0 0
1 0 1 0 Vdd
1 1 0 0 R1
1 1 1 0
R2
2) Implementare nella seguente PLA le funzioni:
Z1 = AB, Z 2 = B + AC , Z 3 = AB + AC , Z 4 = BC R3

R4

A B C Z1 Z2 Z3 Z4
3) Utilizzando la mappa di Karnaugh, individuare tutti
gli implicanti primi, evidenziare quelli essenziali e selezionare
una copertura minima della funzione. E=essenziale, M= minima.
Siano ABCD le variabili ON-set = (2,3,6,7,8,9,10,11,15)
AB
A
AB ( EM ), AC ( EM ), CD ( EM ), BC CD
1
1
D
4) Determinare il valore dei segnali nei seguenti istanti variable tmp: bit:='1'; 1 1 1 1
begin C
entity es_dec_04 is end; tmp:= not tmp; 1 1 1
architecture behavioural of es_dec_04 is clk<= tmp;
signal x, y, clk, rst: bit; wait for 10 ps; B
begin end process;
y <= not x; end behavioural
process(clk,rst) x y rst
begin t= 25ps 0 1 0
if (rst='1') then x<= '0';
elsif (clk'event and clk='1') then x<= y after 2 ps; t= 30ps 0 1 0
end if; t= 35ps 1 0 0
end process;
process t= 40ps 1 0 0
begin t= 45ps 1 0 0
rst <= '1';
wait for 15 ps; t= 50ps 1 0 0
rst <= '0'; t= 55ps 0 1 0
wait;
end process; t= 60ps 0 1 0
clock: process

5V
20ps 40ps 60ps 80ps

5) Supponendo che i MOSFET lavorino in saturazione, dati: Vin=0 V, I0=50 µA, Vdd=5V, VTH1=VTH2=-1V,
β1 =50µA/V2 β2 = 100µA/V2 −> Vout=1.816V, ID116.7 µA, ID2= 33.3 µA vout/vin = gm2/(gm1+gm2) = 0.66
M1
Vdd

A B C
M2
6) Implementare con un circuito CMOS D Vin
in logica random la seguente funzione Z
A
ABC + D
B

D C
Soluzione Esame di Elementi di Elettronica 11-1-2005
1) Scrivere come somma canonica, semplificarla nella forma minima utilizzando i teoremi dell’algebra booleana
A B C Z
0 0 0 0
0 0 1 0
0 1 0 0 Somma canonica Z = A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C
0 1 1 1
Somma minima: Z = A ⋅ B ⋅ C + A ⋅ B + A ⋅ C
1 0 0 1
1 0 1 1
1 1 0 1
1 1 1 0
X1

2) Trovare i valori di X1, X2, X3, X4 affinchè il circuito implementi la funzione X2


S= AC + BC X3
X4 S

X1= C X2= C
A A B B
X3= 1 X4= C AB
A
CD
1 1

1 1 1
3) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali e selezionare D
una copertura minima della funzione. E=essenziale, M= minima. Siano ABCD le variabili 1 1 1
C
ON-set = (2,3,5,6,7,8,9,12,13,15) AC ( EM ), BD ( EM ), AC ( EM ) 1 1

B
4) Determinare il valore dei segnali nei seguenti istanti clock: process
variable tmp: bit:='1';
entity es_gen_05 is begin
end; tmp:= not tmp;
architecture behavioural of es_gen_05 is clk<= tmp;
signal x, a, clk, rst: bit; wait for 10 ps;
begin end process;
process(clk,rst,a) end behavioural;
begin
if (rst='1') then
x<= '0'; x a rst
elsif (clk'event and clk='1') then t= 10ps 0 0 1
x<= a after 15 ps;
end if; t= 20ps 0 0 0
end process; t= 30ps 0 0 0
process
begin t= 40ps 0 1 0
rst <= '1'; a <= '0'; t= 50ps 0 0 0
wait for 15 ps;
rst <= '0'; t= 60ps 0 0 0
wait for 20 ps; t= 70ps 0 1 0
a <= '1';
wait for 10 ps; t= 80ps 0 1 0
a <= '0'; t= 90ps 1 1 0
wait for 16 ps;
a <= '1';
t= 100ps 1 1 0
wait;
end process;

5) Supponendo che i MOSFET lavorino in saturazione, dati: Vin=4 V, Vdd=10V, Vdd


M1
VTHn=1V, VTHp=-1V, R = 10K Ω , β1 =β2 =β3 =50µA/V2 , β4 = β5 = 100µA/V2, trovare: R

ID1= 225 µA, ID2= 225 µA, ID3= 225 µA, ID4= 450 µA, ID5= 450 µA Vin

Vx Vout
Vout= 5.5 V, Vx= 8 V, Vy= 4 V, Vz= 4 V vout/vin=R gm4 gm1/gm3= 3
M2 M5

6) Scrivere la funzione logica implementata Vdd


Vy
dal seguente circuito in logica pseudo n_MOS Vz
out
M3 M4

out = x + t ⋅ ( y + z )
y z

x t
Esame di Elementi di Elettronica 11-1-2005 Nome Cognome
1) Scrivere come somma canonica, semplificarla nella forma minima utilizzando i teoremi dell’algebra booleana
A B C Z
0 0 0 0
0 0 1 0
Somma canonica Z= + + + +
0 1 0 0 Somma minima: Z= + + + +
0 1 1 1
1 0 0 1
1 0 1 1
1 1 0 1 X1
1 1 1 0
X2
2) Trovare i valori di X1, X2, X3, X4 affinchè il circuito implementi la funzione X3
S= AC + BC X4 S

X1=
A A B B
X2=
X3=
X4= AB
3) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali CD
e selezionare una copertura minima della funzione. E=essenziale, M= minima.
Siano ABCD le variabili ON-set = (2,3,5,6,7,8,9,12,13,15)

E M, E M, E M, E M

4) Determinare il valore dei segnali nei seguenti istanti clock: process


variable tmp: bit:='1';
entity es_gen_05 is begin
end; tmp:= not tmp;
architecture behavioural of es_gen_05 is clk<= tmp;
signal x, a, clk, rst: bit; wait for 10 ps;
begin end process;
process(clk,rst,a) end behavioural;
begin
if (rst='1') then
x<= '0';
elsif (clk'event and clk='1') then
x<= a after 15 ps; x a rst
end if; t= 10ps
end process;
process t= 20ps
begin t= 30ps
rst <= '1'; a <= '0';
wait for 15 ps; t= 40ps
rst <= '0'; t= 50ps
wait for 20 ps;
a <= '1'; t= 60ps
wait for 10 ps; t= 70ps
a <= '0';
wait for 16 ps; t= 80ps
a <= '1'; t= 90ps
wait; M1 Vdd
end process; t= 100ps
R

Vin
5) Supponendo che i MOSFET lavorino in saturazione, dati: Vin=6 V, Vdd=10V,
Vx Vout
VTHn=1V, VTHp=-1V, R = 10K Ω , β1 =β2 =β3 =50µA/V2 , β4 = β5 = 100µA/V2, trovare:
M2 M5
ID1= µA, ID2= µA, ID3= µA, ID4= µA, ID5= µA
Vy
Vz
Vout= , V, Vx= , V, Vy= , V, Vz= , V
M3 M4
Vdd
6) Scrivere la funzione logica implementata dal seguente
circuito in logica pseudo n_MOS out

y z

x t
A) Soluzione Esame di Elementi di Elettronica 23-6-2005Nome Cognome
1) Scrivere come somma canonica, semplificarla nella forma minima utilizzando i teoremi dell’algebra booleana
Vdd
A B C Z
0 0 0 1 Somma canonica Z= A’B’C’ + A’B’C + A’BC’ + A’BC + ABC’
0 0 1 1 Vdd
0 1 0 1 R1
0 1 1 1 Somma minima: Z= A’ + BC’
1 0 0 0 R2
1 0 1 0
R3
1 1 0 1
1 1 1 0
R4

2) Trovare le funzioni Z1, Z2, Z3, Z4

Z1= A’C + ABC’


A B C Z1 Z2 Z3 Z4
Z2= A’C + A’C’
C’D’ C’B’
A’C’
Z3= ABC’
A
Z4= AC AB
CD 00 01 11 10
3) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali
00 1 0 1 4 1 12 1
e selezionare una copertura minima della funzione. E=essenziale, M= minima. 8
Siano ABCD le variabili ON-set = (0,1,4,5,6,7,8,9,12) 01 1 1 1 1
5 13 9
D
11 1
3 7 15 11
C’D’ (EM) + B’C’ (EM) + A’B (EM) + A’C’ C
10 1
2 6 14 10

A’B B

4) Determinare il valore dei segnali process ck a b c d


begin
t= 9ns 0 1 0 0 0
entity es_june_05 is a <= '1'; b <= '0';
end; wait for 15 ns; t=31ns 1 0 0 0 0
architecture behavioural of es_june_05 is a <= '0'; t=36ns 1 0 1 0 0
signal a, b, c, d, ck: bit; wait for 20 ns;
begin b <= '1'; t=39ns 1 0 1 0 1
process(ck,a) wait for 10 ns; t=41ns 0 0 1 0 1
begin b <= '0';
if (a='1') then wait for 16 ns; t=44ns 0 0 1 1 1
c<= '0'; b <= '1'; t=46ns 0 0 0 1 1
elsif (a='0') then wait;
c<= b after 2 ns; end process; t=49ns 0 0 0 1 0
end if; end behavioural; t=51ns 1 0 0 1 0
end process;
d<= b after 3 ns;
t=55ns 1 0 0 0 0
clock: process t=59ns 1 0 0 0 0
variable tmp: bit:='1';
begin
tmp:= not tmp;
ck<= tmp;
wait for 10 ns;
end process
5) Dati Vdd=5V, : Vin=2.5 V, R1 = 10K Ω , R2 = 100K Ω , VTHn=1V, VTHp=-1V, β1 = 40µA/V2 , M2 Vdd
β2 =20µA/V2 , trovare: la zona di funzionamento dei MOSFET e le seguenti tensioni e correnti R2

M1: SAT , M2: SAT


Vx

ID1= 45 µA, ID2= 22.5 µA, IR2= 22.5µA


Vin R1
Vout= 2.3 V, Vx= 2.75 V
Vout

M1

6) Disegnare il circuito in logica random CMOS Vdd

che implementa la seguente funzione: Z = A ⋅ ( B + C ⋅ D) A D C

B
Z
C
B
D

A
B) Soluzione Esame di Elementi di Elettronica 23-6-2005Nome Cognome
1) Scrivere come somma canonica, semplificarla nella forma minima utilizzando i teoremi dell’algebra booleana
A B C Z
Vdd
0 0 0 1 Somma canonica Z= A’B’C’ + A’B’C + A’BC’ + A’BC + AB’C’
0 0 1 1
0 1 0 1 Vdd
0 1 1 1 Somma minima: Z= A’ + B’C’
R1
1 0 0 1
1 0 1 0 R2
1 1 0 0
1 1 1 0 R3

R4

2) 4) Trovare le funzioni Z1, Z2, Z3, Z4

Z1= A’C’ + AB’C’


A B C Z1 Z2 Z3 Z4
Z2= A’C’ + A’B’
Z3= AB’C’ A’D’ CB
A
Z4= AB AB
CD 00 01 11 10
00 1 1 4
3) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali A’B’ 0 12 8

e selezionare una copertura minima della funzione. E=essenziale, M= minima. 01 1 1 5 13 9


Siano ABCD le variabili ON-set = (0,1,2,3,4,6,7,11,15) ) D
11 1 3 1 7 115 1 11
C
A’D’ (EM) + BC (EM) + A’B’ (EM) + A’C 10 1 2 1 6 14 10

B A’C

4) Determinare il valore dei segnali process ck a b c d


begin
t= 9ns 0 0 1 0 0
entity es_june_05 is b <= '1'; a <= '0';
end; wait for 15 ns; t=31ns 1 0 0 0 0
architecture behavioural of es_june_05 is b <= '0'; t=36ns 1 1 0 0 0
signal a, b, c, d, ck: bit; wait for 20 ns;
begin a <= '1'; t=39ns 1 1 0 1 0
process(ck,b) wait for 10 ns; t=41ns 0 1 0 1 0
begin a <= '0';
if (b='1') then wait for 16 ns; t=44ns 0 1 0 1 1
d<= '0'; a <= '1'; t=46ns 0 0 0 1 1
elsif (b='0') then wait;
d<= a after 2 ns; end process; t=49ns 0 0 0 0 1
end if; end behavioural; t=51ns 1 0 0 0 1
end process;
c<= a after 3 ns;
t=55ns 1 0 0 0 0
clock: process t=59ns 1 0 0 0 0
variable tmp: bit:='1';
begin M2 Vdd
tmp:= not tmp; R2
ck<= tmp;
wait for 10 ns;
Vx
end process;

5) Dati Vdd=5V, : Vin=2.8 V, R1 = 10K Ω , R2 = 30K Ω , VTHn=1V, VTHp=-1V, β1 = 40µA/V2 , Vin R1

β2 =20µA/V2 , trovare: la zona di funzionamento dei MOSFET e le seguenti tensioni e correnti


Vout
M1: SAT , M2: SAT

ID1= 64.8 µA, ID2= 14.4 µA, IR2= 50.4µA M1

Vout= 2.84 V, Vx= 3.488 V Vdd

D C
6) Disegnare il circuito in logica random CMOS

che implementa la seguente funzione: Z = A + B + (C ⋅ D) B

A
Z

A B D
C) Soluzione Esame di Elementi di Elettronica 23-6-2005Nome Cognome
1) Scrivere come somma canonica, semplificarla nella forma minima utilizzando i teoremi dell’algebra booleana
A B C Z
Vdd
0 0 0 1 Somma canonica Z= A’B’C’ + A’B’C + A’BC’ + A’BC + AB’C
0 0 1 1
Vdd
0 1 0 1
0 1 1 1 Somma minima: Z= A’ + B’C R1

1 0 0 0
R2
1 0 1 1
1 1 0 0 R3
1 1 1 0
R4

2) 4) Trovare le funzioni Z1, Z2, Z3, Z4

Z1= A’C’ + ABC


A B C Z1 Z2 Z3 Z4

Z2= A’C’ + A’C A’B


A
Z3= ABC AB B’C
CD 00 01 11 10
Z4= AC 00 1
0 4 12 8

01 1
3) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali 1 5 13 9
e selezionare una copertura minima della funzione. E=essenziale, M= minima. D
11 1 1 1
Siano ABCD le variabili ON-set = (2,3,4,5,6,7,10,11,14) C 3 7 15 11

10 1 1 1 1
2 6 14 10
CD’
A’B (EM) + B’C (EM) + CD’ (EM) + A’C
B A’C
4) Determinare il valore dei segnali process ck a b c d
begin
t= 9ns 0 1 0 0 0
entity es_june_05 is a <= '1'; b <= '0';
end; wait for 15 ns; t=31ns 1 0 0 0 0
architecture behavioural of es_june_05 is a <= '0'; t=41ns 0 0 1 0 1
signal a, b, c, d, ck: bit; wait for 20 ns;
begin b <= '1'; t=43ns 0 0 1 1 1
process(ck,a) wait for 10 ns; t=51ns 1 0 0 1 0
begin b <= '0';
if (a='1') then wait for 16 ns; t=55ns 1 0 0 0 0
c<= '0'; b <= '1'; t=59ns 1 0 0 0 0
elsif (a='0') then wait;
c<= b after 2 ns; end process; t=62ns 0 0 1 0 0
end if; end behavioural; t=65ns 0 0 1 0 1
end process;
d<= b after 3 ns;
t=71ns 1 0 1 0 1
clock: process t=73ns 1 0 1 1 1
variable tmp: bit:='1';
begin M2 Vdd
tmp:= not tmp; R2
ck<= tmp;
wait for 10 ns;
Vx
end process;

5) Dati Vdd=5V, : Vin=3 V, R1 = 10K Ω , R2 = 20K Ω , VTHn=1V, VTHp=-1V, β1 = 40µA/V2 , Vin R1

β2 =20µA/V2 , trovare: la zona di funzionamento dei MOSFET e le seguenti tensioni e correnti


Vout
M1: SAT , M2: SAT

ID1= 80 µA, 10 µA, IR2= 70µA


ID2= M1

Vout= 2.8 V, Vx= 3.6 V


6) Disegnare il circuito in logica random CMOS Vdd

che implementa la seguente funzione: Z = A ⋅ ( B + C + D) D

C
A
B
Z
A

B C D
D) Soluzione Esame di Elementi di Elettronica 23-6-2005Nome Cognome
1) Scrivere come somma canonica, semplificarla nella forma minima utilizzando i teoremi dell’algebra booleana
A B C Z
0 0 0 1 Somma canonica Z= A’B’C’ + A’B’C + A’BC’ + A’BC + ABC
0 0 1 1
0 1 0 1
0 1 1 1 Somma minima: Z= A’ + BC
1 0 0 0
1 0 1 0
1 1 0 0 Vdd
1 1 1 1
Vdd
2) Trovare le funzioni Z1, Z2, Z3, Z4 R1

R2
Z1= AC + A’BC’
R3

Z2= AC + AB R4

Z3= A’BC’

Z4= AC
A
A B C Z1 Z2 Z3 Z4
AB
CD 00 01 11 10
00 4
1 1 AD’
3) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali 0 12 8
e selezionare una copertura minima della funzione. E=essenziale, M= minima. CD 01 1
1 5 13 9
Siano ABCD le variabili ON-set = (3,7,8,9,10,11,12,14,15) D
11 1 3 1 7 1 15 1 11
C
AD’ (EM) + AB’ (EM) + CD (EM) + AC 10 1 14 1 10
2 6

AC B AB’
4) Determinare il valore dei segnali end process;
process
ck a b c d
entity es_june_05 is begin
end; b <= '1'; a <= '0'; t= 9ns 0 0 1 0 0
architecture behavioural of es_june_05 is wait for 15 ns; t=31ns 1 0 0 0 0
signal a, b, c, d, ck: bit; b <= '0';
begin wait for 20 ns; t=41ns 0 1 0 1 0
process(ck,b) a <= '1'; t=43ns 0 1 0 1 1
begin wait for 10 ns;
if (b='1') then a <= '0'; t=51ns 1 0 0 0 1
d<= '0'; wait for 16 ns; t=55ns 1 0 0 0 0
elsif (b='0') then a <= '1';
d<= a after 2 ns; wait; t=59ns 1 0 0 0 0
end if; end process; t=62ns 0 1 0 0 0
end process; end behavioural;
c<= a after 3 ns; ; t=65ns 0 1 0 1 0
clock: process t=71ns 1 1 0 1 0
variable tmp: bit:='1';
t=73ns 1 1 0 1 1
begin
tmp:= not tmp;
ck<= tmp;
M2 Vdd
wait for 10 ns; R2

Vx
5) Dati Vdd=5V, : Vin=3.5 V, R1 = 10K Ω , R2 = 10K Ω , VTHn=1V, VTHp=-1V, β1 = 40µA/V2 ,
β2 =20µA/V2 , trovare: la zona di funzionamento dei MOSFET e le seguenti tensioni e correnti Vin R1

M1: SAT , M2: SAT Vout

ID1= 125 µA, ID2= 2.5 µA, IR2= 122.5µA M1

Vout= 2.525 V, Vx= 3.775 V Vdd

B C
6) Disegnare il circuito in logica random CMOS A

che implementa la seguente funzione: F = (( A + B ) ⋅ C ) + D D


F

A B

D C
A Esame di Elementi di Elettronica 19-7-2005 Nome Cognome
1) Utilizzando i teoremi dell’algebra booleana scrivere la seguente funzione come somma canonica e come somma minima
( B ⋅ A) ⋅ ( B + C ⋅ ( B + A) )
Somma canonica + + + +

Somma minima + + + +

2) Trovare i valori di X1, X2, X3, X4 affichè il circuito implementi la funzione X1


S= A X2
X3
X1=
X4 S
X2=
X3=
A A B B
X4=

3) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali
e selezionare una copertura minima della funzione. E=essenziale, M= minima.
Siano ABCD le variabili ON-set = (5,9,11,12,13,14)

E M, E M, E M,

E M, E M, E M,

4) Determinare il valore dei segnali b <= '0'; rst a b x y


wait for 1 ns;
t=10ns
architecture behavioural of es_july_05 is rst <= '0';
signal a,b,x,y,rst: bit; wait for 10 ns; t=20ns
begin a <= '1'; t=22ns
x<=a and b after 3 ns; wait for 10 ns;
process(a,b,rst) b <= '1'; t=25ns
begin wait for 10 ns; t=30ns
if (rst='1') then b <= '0';
y<= '0'; wait; t=32ns
else end process; t=35ns
y<= x after 5 ns; end behavioural;
end if; t=40ns
end process; t=50ns
stimulus: process
begin
rst <= '1';
a <= '0';
M4 Vdd

5) Dati Vdd=5V, VA=2.5V, Vin1=2.2 V, Vin2=3 V, Vin3=1 V, R = 10K Ω , VTHn=1V, VTHp=-1V,


Vy
β1 = β4 = 20µA/V2 , β2 =β3 =100µA/V2, trovare: la zona di funzionamento dei MOSFET M3
e le seguenti tensioni e correnti Vin1 Vin3
M1: SAT TRI OFF , M2: SAT TRI OFF , Vout

M2 R
M1: SAT TRI OFF , M2: SAT TRI OFF , Vin2
Vx
ID1= , µA, ID2= , µA
M1
VA

ID3= , µA, ID4= , µA, IR= , µA

Vout= , V, Vx= , V, Vy= , V

6) Scrivere come somma minima la funzione implementata dal seguente circuito

Somma minima + + + D
X Y
Z
A
D
B C
B Esame di Elementi di Elettronica 19-7-2005 Nome Cognome
1) Utilizzando i teoremi dell’algebra booleana scrivere la seguente funzione come somma canonica e come somma minima
(B ⋅ C ) ⋅ ( B + A ⋅ (B + C) )
Somma canonica + + + +

Somma minima + + + +

2) Trovare i valori di X1, X2, X3, X4 affichè il circuito implementi la funzione X1


S= B X2
X3
X1=
X4 S
X2=
X3=
A A B B
X4=

3) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali
e selezionare una copertura minima della funzione. E=essenziale, M= minima.
Siano ABCD le variabili ON-set = (1,4,5,6,13,15)

E M, E M, E M,

E M, E M, E M,

4) Determinare il valore dei segnali b <= '0'; rst a b x y


wait for 1 ns;
t=10ns
architecture behavioural of es_july_05 is rst <= '0';
signal a,b,x,y,rst: bit; wait for 10 ns; t=20ns
begin a <= '1'; t=22ns
x<=a and b after 3 ns; wait for 10 ns;
process(a,b,rst) b <= '1'; t=25ns
begin wait for 10 ns; t=30ns
if (rst='1') then b <= '0';
y<= '0'; wait; t=32ns
else end process; t=35ns
y<= x after 5 ns; end behavioural;
end if; t=40ns
end process; t=50ns
stimulus: process
begin
rst <= '1';
a <= '0';
M4 Vdd

5) Dati Vdd=5V, VA=2.5V, Vin1=2.4 V, Vin2=3.5 V, Vin3=1.5 V, R = 10K Ω , VTHn=1V, VTHp=-1V,


Vy
β1 = β4 = 20µA/V2 , β2 =β3 =100µA/V2, trovare: la zona di funzionamento dei MOSFET M3

e le seguenti tensioni e correnti Vin1 Vin3


M1: SAT TRI OFF , M2: SAT TRI OFF , Vout

M2 R
M1: SAT TRI OFF , M2: SAT TRI OFF , Vin2
Vx
ID1= , µA, ID2= , µA
M1
VA

ID3= , µA, ID4= , µA, IR= , µA

Vout= , V, Vx= , V, Vy= , V

6) Scrivere come somma minima la funzione implementata dal seguente circuito

Somma minima + + +
D
X Y
Z
C
D
B A
C Esame di Elementi di Elettronica 19-7-2005 Nome Cognome
1) Utilizzando i teoremi dell’algebra booleana scrivere la seguente funzione come somma canonica e come somma minima
(C ⋅ A) ⋅ ( C + B ⋅ (C + A) )
Somma canonica + + + +

Somma minima + + + +

2) Trovare i valori di X1, X2, X3, X4 affinchè il circuito implementi la funzione X1


S= A⋅B X2
X3
X1=
X4 S
X2=
X3=
A A B B
X4=

3) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali
e selezionare una copertura minima della funzione. E=essenziale, M= minima.
Siano ABCD le variabili ON-set = (0,1,2,5,7,9)

E M, E M, E M,

E M, E M, E M,

4) Determinare il valore dei segnali b <= '0'; rst a b x y


wait for 1 ns;
t=10ns
architecture behavioural of es_july_05 is rst <= '0';
signal a,b,x,y,rst: bit; wait for 10 ns; t=20ns
begin a <= '1'; t=22ns
x<=a and b after 3 ns; wait for 10 ns;
process(a,b,rst) b <= '1'; t=25ns
begin wait for 10 ns; t=30ns
if (rst='1') then b <= '0';
y<= '0'; wait; t=32ns
else end process; t=35ns
y<= x after 5 ns; end behavioural;
end if; t=40ns
end process; t=50ns
stimulus: process
begin
rst <= '1';
a <= '0';
M4 Vdd

5) Dati Vdd=6V, VA=2.5V, Vin1=2.6 V, Vin2=3.4 V, Vin3=1 V, R = 10K Ω , VTHn=1V, VTHp=-1V,


Vy
β1 = β4 = 20µA/V2 , β2 =β3 =100µA/V2, trovare: la zona di funzionamento dei MOSFET M3

e le seguenti tensioni e correnti Vin1 Vin3


M1: SAT TRI OFF , M2: SAT TRI OFF , Vout

M2 R
M1: SAT TRI OFF , M2: SAT TRI OFF , Vin2
Vx
ID1= , µA, ID2= , µA
M1
VA

ID3= , µA, ID4= , µA, IR= , µA

Vout= , V, Vx= , V, Vy= , V

6) Scrivere come somma minima la funzione implementata dal seguente circuito

Somma minima + + +
B
X Y
Z
A
B
D C
D Esame di Elementi di Elettronica 19-7-2005 Nome Cognome
1) Utilizzando i teoremi dell’algebra booleana scrivere la seguente funzione come somma canonica e come somma minima
( A ⋅ B ) ⋅ ( A + C ⋅ ( A + B) )
Somma canonica + + + +

Somma minima + + + +

2) Trovare i valori di X1, X2, X3, X4 affichè il circuito implementi la funzione X1


S = AB
X2
X1= X3
X4 S
X2=
X3=
X4= A A B B

3) Utilizzando la mappa di Karnaugh, individuare tutti gli implicanti primi, evidenziare quelli essenziali
e selezionare una copertura minima della funzione. E=essenziale, M= minima.
Siano ABCD le variabili ON-set = (1,3,8,9,10,13)

E M, E M, E M,

E M, E M, E M,

4) Determinare il valore dei segnali b <= '0'; rst a b x y


wait for 1 ns; t=10ns
architecture behavioural of es_july_05 is rst <= '0';
signal a,b,x,y,rst: bit; wait for 10 ns; t=20ns
begin a <= '1'; t=22ns
x<=a and b after 3 ns; wait for 10 ns;
process(a,b,rst) b <= '1'; t=25ns
begin wait for 10 ns; t=30ns
if (rst='1') then b <= '0';
y<= '0'; wait; t=32ns
else end process; t=35ns
y<= x after 5 ns; end behavioural;
end if; t=40ns
end process; t=50ns
stimulus: process
begin
rst <= '1';
a <= '0';
M4 Vdd

5) Dati Vdd=6V, VA=2.5V, Vin1=2.7 V, Vin2=3.5 V, Vin3=1 V, R = 10K Ω , VTHn=1V, VTHp=-1V,


Vy
β1 = β4 = 20µA/V2 , β2 =β3 =100µA/V2, trovare: la zona di funzionamento dei MOSFET M3
e le seguenti tensioni e correnti Vin1 Vin3
M1: SAT TRI OFF , M2: SAT TRI OFF , Vout

M2 R
M1: SAT TRI OFF , M2: SAT TRI OFF , Vin2
Vx
ID1= , µA, ID2= , µA
M1
VA

ID3= , µA, ID4= , µA, IR= , µA

Vout= , V, Vx= , V, Vy= , V

6) Scrivere come somma minima la funzione implementata dal seguente circuito

Somma minima + + +
D
X Y
Z
B
D
A C
soluzione Esame di Elementi di Elettronica 19-7-2005

1) A Somma canonica A B C + A B C’ + A’ B’ C Somma minima A B + A’ B’ C


2) A X1, X2, X3, X4 = 0, 0, 1, 1
3) A A B D’ (EM) A B’ D (EM) B C’ D (EM) A B C’ A C’ D A
AB
4) CD 00 01 11 10
rst a b x y 00 1
40 12 8
t=10ns 0 0 0 0 0
t=20ns 0 1 0 0 0 01 1
1 1 13 1
5 9
D
t=22ns 0 1 1 0 0 11 1 11
3 7 15
t=25ns 0 1 1 1 0 C
10 1 14
t=30ns 0 1 1 1 0 2 6 10

t=32ns 0 1 0 1 0
B
t=35ns 0 1 0 0 0
t=40ns 0 1 0 0 1
t=50ns 0 1 0 0 1

5) A M1: SAT , M2: SAT , M3: SAT , M4: SAT

ID1= 14.4 µA, ID2= 14.4 µA, ID3= 32.4 µA, ID4= 32.4 µA, IR= 18 µA

Vout= 2.68 V, Vx= 1.463 V, Vy= 2.805 V

6) A X = A ⋅ (B + C ) Y = A ⋅ (B + C ) ⋅ D Z = AB + AC + D

1) B Somma canonica A B C + A’ B C + A B’ C’ Somma minima B C + A B’ C’


2) B X1, X2, X3, X4 = 0, 1, 0, 1
3) B A’ B D’ (EM) A B D (EM) A’ C’ D (EM) A’ B C’ B C’ D
A
4) AB
CD 00 01 11 10
rst a b x y
00 1
t=10ns 0 0 0 0 0 0 4 12 8

t=20ns 0 1 0 0 0 01 1 1 1 1
5 13 9
t=22ns 0 1 1 0 0 D
11 3
1 15 11
C 7
t=25ns 0 1 1 1 0
t=30ns 0 1 1 1 0 10 2
16 14 10

t=32ns 0 1 0 1 0
B
t=35ns 0 1 0 0 0
t=40ns 0 1 0 0 1
t=50ns 0 1 0 0 1
5) B M1: SAT , M2: SAT , M3: SAT , M4: SAT

ID1= 19.6 µA, ID2= 19.6 µA, ID3= 25.6 µA, ID4= 25.6.4 µA, IR= 6 µA

Vout= 2.56 V, Vx= 1.874 V, Vy= 3.216 V

6) B X = C ⋅ ( B + A) Y = C ⋅ ( B + A) ⋅ D Z = BC + AC + D
1) C Somma canonica A B C + A B’ C + A’ B C’ Somma minima A C + A’ B C’
2) C X1, X2, X3, X4 = 1, 0, 1, 1
3) C A’ B’ D’ (EM) A’ B D (EM) B’ C’ D (EM) A’ B’ C’ A’ C’ D A
AB
4) 00 01 11 10
CD
rst a b x y 00 1
0 4 12 8
t=10ns 0 0 0 0 0
t=20ns 0 1 0 0 0 01 1 1 1 19
5 13
D
t=22ns 0 1 1 0 0 11 1
3 7 15 11
t=25ns 0 1 1 1 0 C
10 1 2 6 14 10
t=30ns 0 1 1 1 0
t=32ns 0 1 0 1 0 B
t=35ns 0 1 0 0 0
t=40ns 0 1 0 0 1
t=50ns 0 1 0 0 1
5) C M1: SAT , M2: SAT , M3: SAT , M4: SAT

ID1= 25.6 µA, ID2= 26.6 µA, ID3= 57.6 µA, ID4= 57.6 µA, IR= 32 µA

Vout= 2.82 V, Vx= 1.684 V, Vy= 3.073 V

6) C X = A ⋅ (C + D) Y = A ⋅ (C + D) ⋅ B Z = AD + AC + B

1) D Somma canonica A B C + A B C’ + A’ B’ C Somma minima A B + A’ B’ C


2) D X1, X2, X3, X4 = 1, 1, 1, 0
3) D A B’ D’ (EM) A’ B’ D (EM) A C’ D (EM) A B’ C’ B’ C’ D
A
4) AB
rst a b x y CD 00 01 11 10
t=10ns 0 0 0 0 0 00 1
0 4 12 8
t=20ns 0 1 0 0 0
t=22ns 0 1 1 0 0 01 1 1 113 1
5 9
D
t=25ns 0 1 1 1 0 11 13 7 15 11
t=30ns 0 1 1 1 0 C
t=32ns 0 1 0 1 0 10 2 6 14
1
10
t=35ns 0 1 0 0 0
t=40ns 0 1 0 0 1 B
t=50ns 0 1 0 0 1

5) D M1: SAT , M2: SAT , M3: SAT , M4: SAT

ID1= 28.9 µA, ID2= 28.9 µA, ID3= 52.9 µA, ID4= 52.9 µA, IR= 24 µA

Vout= 2.74 V, Vx= 1.74 V, Vy= 3.029 V

6) D X = B ⋅ (A + C) Y = B ⋅ (A + C) ⋅ D Z = AB + BC + D

Você também pode gostar