Você está na página 1de 102

Projeto e Implementação de Amplificador Classe D para Áudio

Douglas de Barros Bası́lio Silva

Projeto de Graduação apresentado ao Curso


de Engenharia Eletrônica e de Computação
da Escola Politécnica, Universidade Federal
do Rio de Janeiro, como parte dos requisitos
necessários à obtenção do tı́tulo de Enge-
nheiro.

Orientador: Júlio Torres

Rio de Janeiro
Junho de 2019
UNIVERSIDADE FEDERAL DO RIO DE JANEIRO
Escola Politécnica - Departamento de Eletrônica e de Computação
Centro de Tecnologia, bloco H, sala H-217, Cidade Universitária
Rio de Janeiro - RJ CEP 21949-900

Este exemplar é de propriedade da Universidade Federal do Rio de Janeiro, que


poderá incluı́-lo em base de dados, armazenar em computador, microfilmar ou adotar
qualquer forma de arquivamento.

É permitida a menção, reprodução parcial ou integral e a transmissão entre bibli-


otecas deste trabalho, sem modificação de seu texto, em qualquer meio que esteja
ou venha a ser fixado, para pesquisa acadêmica, comentários e citações, desde que
sem finalidade comercial e que seja feita a referência bibliográfica completa.

Os conceitos expressos neste trabalho são de responsabilidade do(s) autor(es).

iv
AGRADECIMENTO

Agradeço, primeiramente, a minha mãe, Simone, por todo o apoio em todas as


etapas da minha vida. Agradeço a meu pai, Geraldo, por todas as lições. Gostaria
que estivesse aqui comigo nessa vitória. Agradeço a minha avó, Leda, pelos valores
ensinados e por sempre torcer por mim. Agradeço a meus padrinhos, Marcos e
Magda, por me ensinarem sobre famı́lia e a importância dela. Agradeço a meu
padrasto, Vagner, por me ensinar tanto sobre persistência e gentileza.

Agradeço a meus professores, em especial a Fernando Baruqui, Julio Torres, Carlos


Teodósio e Márcio Souza, por toda orientação e conhecimentos repassados, funda-
mentais à minha formação e à realização deste trabalho.

Agradeço aos muitos amigos feitos nessa jornada, em especial a Caio, Caio, Ze-
neide, João Marcos, Raphael, Marcelo, Luis Fernando e Varlen, com quem compar-
tilho tantos aprendizados e memórias divertidas.

Agradeço a minha namorada, Débora, por me apoiar e acreditar em mim.

v
RESUMO

O presente trabalho apresenta a implementação de um amplificador de potência


em classe D, para aplicação em áudio. O propósito é aliar a alta eficiência energética,
caracterı́stica da classe, e reduzida distorção harmônica total, de forma a se proje-
tar um sistema que entregue nı́veis elevados de potência útil, sem que se perca o
desempenho e fidelidade requeridos em aplicações de áudio.
Assim, apresenta-se o projeto do amplificador classe D, com o emprego do
circuito integrado TAS5630B, fabricado pela Texas Instruments. Também são apre-
sentados os projetados o filtro de saı́da associado ao amplificador, da sua placa de
circuito impresso e de todos os outros blocos necessários para o funcionamento.
O projeto compreende a montagem prática do circuito, incluindo o projeto e
confecção da placa da circuito impresso, a consideração de disponibilidade e custo
durante o dimensionamento dos componentes, e a investigação dos cuidados ne-
cessários na implementação de um sistema como o proposto. Sendo assim, também
são apresentados o protótipo e os resultados oriundos da sua observação e avaliação.
Os resultados práticos obtidos foram: Frequência de corte de 71 kHz, THD
de 0,6351% para 1 W de potência na saı́da, e de 0,5328% para 200 W. A entrega
máxima de potência, quando ligado um canal a uma carga de 4 Ω, foi de 200,24 W
com um rendimento energético de 78%. Com dois canais ligados a cargas de 8 Ω, a
entrega máxima de potência foi de 254,57 W com 87% de rendimento energético.

Palavras-Chave: amplificadores de áudio, classe D, placa de circuito impresso,


PCB, amplificadores de potência, reposta em frequência, distorção acústica, dis-
torção harmônica total, projeto final.

vi
ABSTRACT

This document presents the design of a class D power amplifier for audio
application. The goal is to ally high energy efffiency presented by class D, and
reduced total harmonic distortion in order to develop a system capable of delivering
high level useful power and yet able to perform and present the fidelity required in
an audio application.
Therefore, it presents the design of a class D amplifier employing TAS5630B,
integraded circuit made by Texas Instruments. It is also presented the project of
the associated output filter, the design of the printed circuit board and all other
blocks that are necessary to make it work.
The project covers the assembly of the circuit, including the design and con-
fection of the printed circuit board, cost and availability considerations in order to
define components values, and also the necessary care to assembly a system like the
one proposed. Thus, the prototype and the results of its observation and avaliation
are also presented.
The results obtained were: Cut-off frequency of 71 kHz, 0,6351% THD at 1
W of output power, and 0,5328% THD at 200 W. The maximum output power was
200,24 W and the energy efficiency 78%, when one channel was connected to a 4 Ω
load. With two channels connected to 8 Ω loads, the combined output power was
254,57 W and the energy efficiency 87%.

Key-words: audio amplifiers, class D, PCB, printed circuit board, power amplifi-
ers, frequency response, graduation project.

vii
SIGLAS

UFRJ - Universidade Federal do Rio de Janeiro

PCB - Printed Circuit Board - Placa de Circuito Impresso

PWM - Pulse Width Modulation - Modulação por Largura de Pulso

SE - Single Ended - Terminal Singular

BTL - Bridge-Tied Load - Carga em Ponte Atrelada

PBTL - Parallel Bridge-Tied Load - Carga em Ponte Atrelada em Paralelo

SMD - Surface Mounting Device - Dispositivo de Montagem em Superfı́cie

AC - Alternating Current - Corrente Alternada

DC - Direct Current - Corrente Contı́nua

viii
Sumário

1 Introdução 1
1.1 Tema . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.2 Delimitação . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.3 Justificativa . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.4 Objetivos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2
1.5 Metodologia . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3
1.6 Descrição . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4

2 Amplificação de Áudio - Estado da Arte 5


2.1 O Som . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
2.2 Amplificação de Sinais de Áudio . . . . . . . . . . . . . . . . . . . . . 8
2.3 Histórico da Amplificação Elétrica de Sinais . . . . . . . . . . . . . . 9
2.4 Cadeia de Amplificação . . . . . . . . . . . . . . . . . . . . . . . . . . 10
2.5 Distorção . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
2.6 Circuitos Amplificadores e Classes de Amplificação . . . . . . . . . . 12
2.6.1 Classe A . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
2.6.2 Classe B . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
2.6.3 Classe AB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
2.6.4 Classe C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
2.6.5 Classe D . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
2.6.6 Classe G . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
2.6.7 Classe H . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
2.7 Conclusão . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21

3 Projeto do Amplificador 22

ix
3.1 Modos de Operação - Canais e Modulação . . . . . . . . . . . . . . . 23
3.1.1 Topologia e Potência Média de Saı́da . . . . . . . . . . . . . . 27
3.1.2 Outras Vantagens da Configuração em Ponte Completa . . . . 28
3.1.3 Conclusão sobre configuração dos canais e modulação . . . . . 29
3.2 Sistema de Alimentação . . . . . . . . . . . . . . . . . . . . . . . . . 31
3.2.1 Capacitores de Desacoplamento . . . . . . . . . . . . . . . . . 32
3.3 Filtro de Saı́da . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34
3.4 Sistema de Controle . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40
3.4.1 Controle de Temperatura . . . . . . . . . . . . . . . . . . . . . 40
3.4.2 Demais Controles . . . . . . . . . . . . . . . . . . . . . . . . . 41
3.5 Blocos Auxiliares . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43
3.5.1 Filtro DC de Entrada . . . . . . . . . . . . . . . . . . . . . . . 43
3.5.2 Circuito de Reset . . . . . . . . . . . . . . . . . . . . . . . . . 44
3.5.3 Circuito Seletor de Modo . . . . . . . . . . . . . . . . . . . . . 44
3.5.4 Capacitores de Realimentação . . . . . . . . . . . . . . . . . . 45
3.5.5 Controle de Frequência de Modulação . . . . . . . . . . . . . . 45
3.5.6 Outros Componentes . . . . . . . . . . . . . . . . . . . . . . . 46
3.6 PCI - Placa de Circuito Impresso . . . . . . . . . . . . . . . . . . . . 47

4 Montagem e Resultados Práticos 52


4.1 Montagem . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
4.1.1 PCI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
4.1.2 Fonte Chaveada . . . . . . . . . . . . . . . . . . . . . . . . . . 52
4.1.3 Dissipador de Potência . . . . . . . . . . . . . . . . . . . . . . 52
4.1.4 Pré-amplificador de testes . . . . . . . . . . . . . . . . . . . . 54
4.1.5 Estrutura de armazenamento e conexão . . . . . . . . . . . . . 56
4.1.6 Problemas e soluções de montagem . . . . . . . . . . . . . . . 56
4.2 Resultados Práticos . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61
4.2.1 Potência Máxima . . . . . . . . . . . . . . . . . . . . . . . . . 63
4.2.2 Eficiência . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
4.2.3 Resposta em Frequência . . . . . . . . . . . . . . . . . . . . . 68
4.2.4 Distorção Harmônica Total . . . . . . . . . . . . . . . . . . . . 71

x
5 Conclusões 78
5.1 Trabalhos Futuros . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79

Bibliografia 81

A Código Fonte do Sistema de Controle 83

xi
Lista de Figuras

2.1 Curvas de Fletcher-Munson. Cada curva representa o valor de pressão


acústica, em diferentes tons puros ao longo do espectro de áudio, necessário
para gerar a mesma percepção (em termos de intensidade) em uma pessoa
com acuidade auditiva média, tendo como referência o nı́vel de pressão em
1 kHz. Fonte: Técnicas Modernas de Gravação de Áudio - 7a Edição. [1]
[Modificada]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
2.2 Estágio de saı́da de um amplificador operando em Classe A. Fonte: Am-
plificadores de Áudio, 1a ed. Brasil, BORTONI, R. [2] [Modificada]. . . . . 14
2.3 Estágio de saı́da de um amplificador operando em Classe B. Fonte: Am-
plificadores de Áudio, 1a ed. Brasil, BORTONI, R. [2] [Modificada]. . . . . 15
2.4 Distorção de crossover em um sinal senoidal de 1 kHz. Fonte: Amplifica-
dores de Áudio, 1a ed. Brasil, BORTONI, R. [2] [Modificada]. . . . . . . . 16
2.5 Representação da porção do sinal conduzida em cada transistor da saı́da
de cada classe. Fonte: Amplificadores de Áudio, 1a ed. Brasil, BORTONI,
R. [2] [Modificada]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
2.6 Representação dos sinais presentes em um amplificador Classe D. Fonte:
IRF - Application Note AN-107 [3] [Modificada]. . . . . . . . . . . . . . 18
2.7 Topologia básica de um amplificador Classe D. Fonte: Amplificadores de
Áudio, 1a ed. Brasil, BORTONI, R. [2] [Modificada]. . . . . . . . . . . . 18

3.1 Diagrama de Blocos do sistema completo. . . . . . . . . . . . . . . . . . 23


3.2 Esquema da modulação AD com sinais envolvidos em tempo normalizado
(t*fs). Fonte: Texas Instruments - Application Report SLOA119B [4]
[Modificada]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

xii
3.3 Esquema da modulação BD com sinais envolvidos em tempo normalizado
(t*fs). Fonte: Texas Instruments - Application Report SLOA119B [4]
[Modificada]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26
3.4 Circuito simplificado equivalente para cálculo da potência de saı́da. Es-
quemático montado com o software OrCAD Capture CIS. . . . . . . . . . 27
3.5 Representação dos sentidos de corrente e do fenômeno de “Bus pumping”.
Fonte: IRF Application Note AN-1071 - Class D Audio Amplifier Basics
[3] [Modificada]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29
3.6 Diagrama de Blocos do sistema de alimentação. . . . . . . . . . . . . . . 31
3.7 Aplicações Tı́picas para o LM317HV - Regulador de tensão ajustável para
alta tensão, de 1,25 V a 45 V. Fonte: Texas Instruments - Datasheet do
LM317HV [5]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
3.8 Topologia Butterworth. Fonte: Texas Instruments - Application Report
SLOA119B [4]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35
3.9 Topologia Butterworth Aplicada à Carga em Esquema de Ponte. Fonte:
Texas Instruments - Application Report SLOA119B [4]. . . . . . . . . . . 36
3.10 Circuito Equivalente. Fonte: Texas Instruments - Application Report
SLOA119B [4]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36
3.11 Topologia Final para o filtro passa-baixas de saı́da. Fonte: Texas Instru-
ments - Application Report SLOA119B [4]. . . . . . . . . . . . . . . . . 39
3.12 Circuito para acionamento da ventoinha. Esquemático montado com o
software OrCAD Capture CIS. . . . . . . . . . . . . . . . . . . . . . . . 41
3.13 Filtro DC de Entrada. Esquemático montado com o software OrCAD
Capture CIS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44
3.14 Circuito para seleção do Reset. Esquemático montado com o software
TINA-TI. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45
3.15 Esquemático do circuito. Print de tela do arquivo “esquematicoFin.brd”
aberto com o Eagle
R . . . . . . . . . . . . . . . . . . . . . . . . . . . 49
3.16 Layout da placa de circuito impresso. Captura de tela do arquivo “esque-
R . . . . . . . . . . . . . . . . . . . 50
maticoFin.brd” aberto com o Eagle

4.1 Parte da frente da placa de circuito impresso confeccionada em FR4. Di-


mensões: 116,87 cm x 85,44 cm . . . . . . . . . . . . . . . . . . . . . . 53

xiii
4.2 Parte de trás da placa de circuito impresso confeccionada em FR4. Di-
mensões: 116,87 cm x 85,44 cm . . . . . . . . . . . . . . . . . . . . . . 53
4.3 Na esquerda (A), fotografia superior do sistema montado, sem a ventoinha,
para que sejam visı́veis os parafusos de fixação do dissipador, destacados
em vermelho. Na direita (B), a captura de tela com o design da PCI, como
forma de visualizar os furos para fixação do dissipador e sua conexão com
o terra do circuito. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54
4.4 Esquemático do circuito pré-amplificador utilizado nos testes. Esquemático
montado com o software TINA-TI. . . . . . . . . . . . . . . . . . . . . . 55
4.5 Fotografias com as partes dianteira e traseira da base de madeira acomo-
dando a placa do circuito, a fonte de alimentação, os botões, LEDs e os
conectores. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
4.6 Fotografia da placa montada, com somente os componentes soldados, de
modo a se observar os dois capacitores de acoplamento que ficam no ca-
minho do dissipador, destacados em vermelho. . . . . . . . . . . . . . . . 58
4.7 Ilustração com a montagem do dissipador de calor. Fonte: Texas Instru-
ments - Heatsinking, Connecting and Mounting for TAS561-3x [15] [Mo-
dificada]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59
4.8 Fotografias da placa montada. Destacado em vermelho, o dissipador de
calor extra, que foi adaptado ao regulador de tensão de 12 V. . . . . . . . 60
4.9 Fotografia da placa montada de perfil. Nela pode ser visto que certa cur-
vatura é criada com a tensão dos parafusos fixadores do dissipador de calor. 61
4.10 Fotografia dos resistores de potência utilizados como “carga morta” para
o amplificador. Eles foram mergulhados em água para aumentar a capa-
cidade de dissipação de potência, permitindo os testes que se dariam. . . . 62
4.11 Fotografia com a montagem em bancada para os testes. Nela podem ser
vistos: a carga morta à direita; o sitema do amplificador e fonte, ao centro;
osciloscópio e gerador de sinais, acima; e o protoboard onde foi montado
o pré-amp de testes, à direita. . . . . . . . . . . . . . . . . . . . . . . . 63
4.12 Circuito simplificado equivalente para cálculo da potência de saı́da. Es-
quemático montado com o software OrCAD Capture CIS. . . . . . . . . . 66

xiv
4.13 Modelo de um indutor considerando a perda de energia do núcleo. Fonte:
Texas Instruments - Application Report SLAA701A [17] . . . . . . . . . 68
4.14 Resposta em frequência (para potência média de aproximadamente 25 W
na saı́da). Curva de ganho de tensão. Dados obtidos em bancada, com o
uso do osciloscópio, formando a Tabela 4.1 e plotados no MATLAB. . . . 70
4.15 Resposta em frequência (para potência média de aproximadamente 25 W
na saı́da). Curva de ganho de tensão em dB. Dados obtidos em bancada,
com o uso do osciloscópio, formando a Tabela 4.1 e plotados no MATLAB. 70
4.16 Sinais de saı́da com aproximadamente 1 W, 10 W, 50 W, 150 W e 200 W em
uma carga de 4,2 Ω. Capturas de tela do osciloscópio Tektronix TDS1012B.
R 72
4.17 Espectro de frequências do sinal de saı́da capturado do osciloscópio com 1
W, 10 W e 50 W, para uma entrada senoidal de 1 kHz. Captura de tela
R . . . . . . . . . . . . 74
do gráfico gerado pela função “thd” do MATLAB
4.18 Espectro de frequências do sinal de saı́da capturado do osciloscópio com
100 W, 150 W e 200 W, para uma entrada senoidal de 1 kHz. Captura de
tela do gráfico gerado pela função “thd” do MATLAB
R . . . . . . . . . 75
4.19 Gráfico de THD por potência de saı́da. . . . . . . . . . . . . . . . . . . 77

xv
Lista de Tabelas

2.1 Exemplos de valores de NPS para dadas situações.

6
2.2 Classes de amplificação de áudio e datas de seu aparecimento [6].
13

3.1 Valores calculados para CBT L e LBT L para as determinadas frequências


de corte. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38
3.2 Valores de componentes recomendados para uma carga de 4 Ω em
modulação AD e resultados empı́ricos obtidos com eles. Fonte: Texas
Instruments - Application Report SLOA119b [4].
38
3.3 Lista de Componentes . . . . . . . . . . . . . . . . . . . . . . . . . . 51

4.1 Tabela com valores de ganho absoluto e em dB para diferentes frequências


(para potência média de aproximadamente 25 W na saı́da).
69
4.2 Conjunto de valores calculados das frequências da fundamental e dos
cinco primeiros harmônicos com suas respectivas potências em dB.
Valores calculados com o MATLAB para os sinais de 1 W, 10 W e 50
W, 100 W, 150 W e 200 W capturado pelo osciloscópio.
73
4.3 Tabela com os valores de THD calculados em dB e porcentagem para
cada nı́vel de frequência analisado.
76

xvi
Capı́tulo 1

Introdução

1.1 Tema
O tema do trabalho é o estudo das topologias, técnicas de projeto e dimensiona-
mento de componentes para amplificadores classe D. Neste sentido, o problema a
ser resolvido é analisar as topologias existentes, avaliar a que mais se adequada aos
requisitos, projetar e implementar um amplificador de áudio.

1.2 Delimitação
Este trabalho está centrado na implementação de um circuito amplificador de
áudio que atenda a requisitos elétricos, de tamanho fı́sico e de custo. O resultado
final deve ser um equipamento eletrônico completo e funcional.

1.3 Justificativa
A reprodução eletrônica de áudio transformou a organização da sociedade. Pode-
se citar, por exemplo, o impacto nas comunicações e no armazenamento de in-
formações, por meio do áudio eletrônico. Uma das consequências mais relevantes
fica por parte da revolução cultural trazida pela reprodução musical, uma vez que
essa deixou de ser restrita a concertos e se popularizou, podendo ser repetida e
alcançar todo tipo de pessoa.

1
O aperfeiçoamento da reprodução de áudio tem acompanhado a evolução da
Eletrônica, além de ser um dos principais instigadores da pesquisa e desenvolvimento
na área. Seja pelo fato dos sinais de áudio captados por microfones, captadores e
outros transdutores elétricos, normalmente possuı́rem uma amplitude reduzida; ou
pelo fato da aplicação de áudio requerer uma potência elevada (um concerto para
uma grande plateia, por exemplo); o sistema eletrônico deve possuir amplificadores
para elevar a amplitude e, consequentemente, a potência do sinal de áudio. Com
o advento de novos componentes, técnicas de produção, ferramentas e topologias,
a amplificação de sinais se aprimora em termos de eficiência energética, tamanho
fı́sico, potência e fidelidade.

Neste sentido, o presente projeto é uma adaptação de estudos anteriores, buscando


avançar na técnica de projeto e implementação de amplificadores ao elaborar um
circuito adequado a necessidades especı́ficas, os requisitos de projeto. Não somente
se levará em conta os parâmetros técnicos, como distorção, banda de frequência e
potência máxima de saı́da, por exemplo, mas também será minimizado o custo total
do circuito, uma vez que é parte justificativa do projeto que o amplificador seja
viável em termos de replicação e comercialização.

1.4 Objetivos
O objetivo geral é, então, projetar e implementar um circuito amplificador classe
D. Suas especificações incluem: resposta plana no domı́nio de frequência de áudio
(30 Hz a 20 KHz); potência máxima de 200 W na saı́da para uma carga de 4
Ω; distorção harmônica total (THD) menor que 1%. Desta forma, tem-se como
objetivos especı́ficos: (1) selecionar uma topologia de circuito que melhor se adapte
às necessidades do projeto; (2) projetar um circuito capaz de atender o desempenho
requisitada; (3) implementar na prática o circuito projetado; (4) medir e avaliar o
desempenho do sistema montado.

2
1.5 Metodologia
Para iniciar o projeto, foi desenvolvido um estudo do relatado na literatura e em
projetos semelhantes sobre técnicas e topologias utilizados para o projeto e monta-
gem de amplificadores classe D. Com base no aprendizado adquirido, foram selecio-
nadas as técnicas e práticas que mais se adequavam ao projeto proposto.

Após as definições iniciais, foi feita uma pesquisa de mercado, onde foram avali-
ados componentes e circuitos integrados, de forma que se pudesse aplicar, pratica-
mente, o que fosse projetado, desenvolvendo e montando um protótipo seguindo as
especificações de projeto.

Os critérios para a seleção dos componentes e circuitos abordam desde a confor-


midade com as especificações, até a viabilidade comercial do protótipo e de futuras
aplicações. Sobre viabilidade, foram tomados critérios como custo e disponibilidade
no mercado nacional.

O funcionamento dos subcircuitos e o dimensionamento de seus componentes fo-


ram validados por uma etapa de simulação em software. Os aspectos do funciona-
mento foram validados e eventuais correções no projeto e dimensionamento foram
executadas.

Após definidos o circuito e seus componentes reais, partiu-se para o projeto da


placa de circuito impresso. O projeto foi executado via software, proporcionando
assim maior precisão, escalabilidade, performance e menor tamanho fı́sico. A con-
fecção da PCB foi feita por uma empresa fornecedora de tal serviço.

A montagem do circuito foi feita diretamente na placa de circuito impresso pro-


jetada, sem que fosse feita uma prototipagem de testes. Apesar das desvantagens
de tal abordagem, o uso de componentes SMD e a escala de potência envolvida
inviabilizaram o uso de protoboards, e afins.

Com o circuito montado e funcional, foram feitas as medidas para validar se o


desempenho do amplificador alcançou os requisitos propostos.

3
1.6 Descrição
O Capı́tulo 2 apresenta o conceito de amplificação de áudio e quais as técnicas
e parâmetros utilizados para realizá-la. Para tal, apresenta o conceito de som e as
maneiras utilizadas para amplificá-lo. Apresenta um breve histórico da amplificação
de sinais eletrônicos e como isso permite a gravação, transmissão e reprodução de
áudio. Por fim, descreve um sistema amplificador, alguns de seus parâmetros e as
topologias mais comuns utilizadas nesse tipo de circuito.

O Capı́tulo 3 descreve o projeto do amplificador Classe D. Apresenta os blocos do


sistema, o funcionamento de cada um e a escolha dos componentes utilizados. Por
fim, apresenta o projeto da placa de circuito impresso.

O Capı́tulo 4 trata da parte prática do projeto. Apresenta as escolhas, cuidados e


dificuldades no processo de montagem do circuito. É também nesse capı́tulo que são
mostrados os resultados práticos obtidos com amplificador, com a medida e análise
de seus principais parâmetros.

Assim se tem o Capı́tulo 5, com as conclusões sobre o projeto, seus resultados e


trabalhos futuros oriundos dele.

4
Capı́tulo 2

Amplificação de Áudio - Estado da


Arte

2.1 O Som
O som pode ser simplesmente definido como a variação de pressão em um meio
material. Porém, a definição do que é som também está atrelada à percepção sub-
jetiva de tal fenômeno fı́sico por nós, humanos, e outros sistemas biológicos. Nesse
sentido, a percepção humana do som também faz parte deste estudo.

O ouvido humano funciona como um transdutor das variações de pressão em sinais


elétricos, que são então interpretados pelo nosso cérebro. As caracterı́sticas fı́sicas
desse órgão limitam sua resposta em frequência, funcionando como um filtro passa-
faixas, sendo somente capaz de perceber a faixa compreendida entre 20 Hz e 20 kHz.
A essa faixa, dá-se o nome de espectro de áudio.

A intensidade do som (I), que rigorosamente, é um parâmetro vetorial, cujo


módulo está relacionado com o quadrado do valor RMS da onda de pressão sonora e
com a impedância caracterı́stica do meio, usualmente é simplificado e expresso por
meio do chamado nı́vel de pressão sonora (NPS). O NPS consiste no valor RMS da
onda pressão sonora em relação a um valor conhecido como limiar da audição (20
µPa) considerando o ar em condições normais como meio de propagação. Esse valor
corresponde ao menor valor de intensidade capaz de causar efeitos perceptivos ao

5
sistema auditivo humano. O maior valor que podemos perceber sem a sensação de
dor é definido como limiar de dor, com valor de 20 Pa. É comum expressar o NPS
em escala logarı́tmica, em dB, com valor calculado como na Equação (2.1), onde P0
é o valor de referência de 20 µPa.

P
NPS = 20log( ) (2.1)
P0

Tabela 2.1: Exemplos de valores de NPS para dadas situações.


Evento NPS (dB)
Limiar da audição 0
Ruı́do em uma biblioteca 30
Nı́vel médio de voz 60
Limiar de dor 120
Motor à Jato 150

A percepção humana também não é uniforme ao longo do espectro de áudio. A


estrutura do canal auditivo pode ser aproximada como um tubo cilı́ndrico com uma
das extremidades fechada, com 0.7 cm de diâmetro e 3 cm de comprimento. Nessa
estrutura, obtém-se uma frequência de ressonância com comprimento de onda igual
a quatro vezes o comprimento do tubo, o que corresponde à frequência de 2870 Hz.
De fato, as pessoas costumam ter maior sensibilidade a frequências próximas a esse
valor.

A intensidade, como descrita anteriormente, pode ser medida por um equipamento


eletrônico, o sonômetro (popularmente conhecido como decibelı́metro). Entretanto,
a diferente percepção desse nı́vel de pressão ao longo do espectro de frequência
só pode ser avaliada por humanos ouvintes. Nesse caso, para se obter um resul-
tado acerca do fenômeno chamado audibilidade, que é a caracterı́stica psicoacústica
relacionada à percepção da intensidade sonora, precisa-se recorrer a pesquisas ob-
servacionais populacionais.

6
Figura 2.1: Curvas de Fletcher-Munson. Cada curva representa o valor de
pressão acústica, em diferentes tons puros ao longo do espectro de áudio,
necessário para gerar a mesma percepção (em termos de intensidade) em uma
pessoa com acuidade auditiva média, tendo como referência o nı́vel de pressão
em 1 kHz. Fonte: Técnicas Modernas de Gravação de Áudio - 7a Edição. [1]
[Modificada].

Com tal estudo, pode-se determinar as curvas de audibilidade (loudness), que


determinam o nı́vel de pressão sonora necessário, em cada frequência, para se obter
uma mesma percepção de intensidade (loudness). Essa percepção é graduada e
escalada em fones (phon), de forma a ordenar essa que é uma grandeza psicoacústica.

Na Figura 2.1 pode-se observar as chamadas curvas de loudness ou “de mesma


audibilidade”. Vale notar que o formato das curvas também varia de acordo com
a intensidade. Sendo assim, em um sinal com NPS maior, por exemplo, percebe-se
menos a diferença de audibilidade entre graves, médios e agudos do que se perceberia
em um sinal de menor NPS [1].

7
2.2 Amplificação de Sinais de Áudio
Com a necessidade de ampliar a intensidade e consequentemente o alcance de uma
mensagem sonora, veio a necessidade de se amplificar os sinais sonoros.

Inicialmente, podemos citar os instrumentos musicais acústicos, por possuı́rem,


em grande maioria, um bloco amplificador em sua estrutura. Ou seja, eles possuem
algo que confere a capacidade de aumentar o som produzido por eles mesmos.

O violão, por exemplo, é um instrumento que possui uma caixa de ressonância,


que amplifica o som produzido pela vibração de suas cordas.

A amplificação elétrica de áudio se tornou possı́vel com o desenvolvimento da


tecnologia capaz de transformar o sinal sonoro em sinal elétrico, amplificar esse
sinal, e transformá-lo de volta em ondas de pressão.

O advento da amplificação elétrica de áudio possibilitou novos instrumentos, que


já não precisavam mais amplificar o som mecanicamente. Pode-se citar, por exemplo,
a guitarra elétrica que, ao contrário do violão, não possui caixa ressonante. O
som produzido pela vibração das cordas é transformado em sinais elétricos pelos
captadores (pickups), que são então amplificados e reproduzidos por meio de um
alto-falante [6].

O impacto gerado pela amplificação elétrica não se restringe à criação de novos


instrumentos musicais. Essa nova realidade aumentou o alcance de comunicação,
via áudio, entre as pessoas, tanto espacialmente quanto no tempo, pois permitiu a
gravação e reprodução.

Um orador passa a poder falar para plateias de tamanho que antes eram inima-
gináveis. Um músico em concerto pode apenas sussurrar a letra de uma música e
ainda ser entendido por um ouvinte a vários metros de distância. Isso tudo permitiu
que a arte musical se desenvolvesse e se tornasse tão plural.

8
Com o desenvolvimento dessa tecnologia, fez-se hábil a gravação de áudio para
que pudesse ser reproduzido a qualquer momento. Isso promove um efeito social de
popularização da música, que antes se restringia a uma parcela da população que
pudesse frequentar concertos ou similares.

2.3 Histórico da Amplificação Elétrica de Sinais


No ano de 1880, durante o desenvolvimento da lâmpada, Thomas Edison encarava
o seguinte problema: o filamento utilizado, um tipo de bambu carbonizado, ao ser
aquecido para gerar luz, aos poucos ia liberando carbono, que ia se depositando no
bulbo da lâmpada, inutilizando-a em algumas horas. Após investigação, percebeu-
se que não só o carbono circulava pelo vácuo do bulbo, mas que havia também um
fluxo de cargas elétricas. Ao adicionar um terceiro eletrodo, com polaridade positiva,
podia-se observar essa corrente, o que não acontecia com um eletrodo de polaridade
negativa. Apesar de não resolver o problema, Edison patenteou o dispositivo, e ao
fenômeno se deu o nome de efeito termoiônico, ou efeito Edison, apesar dele nunca
tê-lo compreendido [7].

Em 1904, baseado no efeito termoiônico, John Ambrose Fleming construiu e


patenteou um dispositivo semelhante, que utilizou para retificar sinais de alta-
frequência. Permitindo, o que antes não se sabia como, medir sinais de alta-
frequência. Era uma espécie de diodo primitivo, que ficou posteriormente conhecido
como válvula de Fleming [8].

Em 1907, Lee DeForest, ao tentar explorar mais ainda o efeito Edison, patenteou o
Audion. Como diferencial, o dispositivo contava com a adição de uma grade metálica
entre o filamento e o eletrodo que captava as cargas liberadas, ou seja, entre catodo
e anodo. Ao aplicar uma pequena tensão a essa grade, podia-se alterar a quantidade
de corrente observada entre o catodo e o anodo, ou seja, podia-se controlar a corrente
através de um outro pequeno sinal, dando o primeiro passo para a amplificação de
sinais [9].

9
“Nos Laboratórios da companhia Bell, Murray Hill, John Bardeen, Walter Brat-
tain e William Shockley inventaram o transistor. Ele foi demonstrado pela primeira
vez em 23 de dezembro de 1947.” [10]. Composto de semicondutores, o transistor
efetuava a amplificação de sinais com uma série de enormes vantagens em relação
às “válvulas”, nome popular dado aos dispositivos previamente descritos, que uti-
lizavam o efeito termoiônico. Os transistores eram menores, mais eficientes ener-
geticamente, necessitavam de uma menor tensão de funcionamento, além de serem
mais baratos e robustos. Essa invenção é considerada uma das mais importantes do
século XX e revolucionou a eletrônica.

As válvulas, entretanto, não deixaram totalmente de ser utilizadas. Algumas


pessoas ainda preferem o áudio de sistemas “valvulados” quando comparado ao
dos mais modernos, à transistor. A justificativa é que as válvulas não saturam de
forma tão abrupta quanto os transistores, gerando uma distorção por inclusão de
harmônicos (enriquecimento harmônico). Outro fator para o mercado de amplifica-
dores à válvula continuar vivo é o apelo “vintage” e nostálgico que tais aparelhos
ainda trazem.

2.4 Cadeia de Amplificação


“A cadeia de amplificação é todo o processo de amplificação do sinal de áudio desde
a fonte geradora até o extremo final que são os alto-falantes ou caixas acústicas.”
[2]. Os sistemas de amplificação são constituı́dos de diversos blocos como pré-
amplificadores, amplificadores de potência, equalizadores, crossovers, etc. É normal
que se dê a todo esse sistema o nome simplificado de amplificador, representando
toda a cadeia de amplificação.

Ao se projetar um amplificador, deve-se considerar que o sinal gerado pelo trans-


dutor eletroacústico, seja ele um microfone ou captador, por exemplo, possui valores
de tensão muito baixos, da ordem de dezenas de milivolts, chamado nı́vel de instru-
mento (ou nı́vel de microfone). Se faz necessária uma pré-amplificação, de forma a
deixar esse sinal em condições ideais de ser amplificado pelo amplificador de potência.
A essa condição ideal, se dá o nome de nı́vel de linha, que é convencionado 0.775

10
V eficazes, correspondente à potência de 1 mW numa carga de 600 Ω. O amplifi-
cador de potência é o bloco designado a entregar a potência ao final da cadeia de
amplificação, ao alto-falante.

Além do ganho de amplitude geral do sinal, um amplificador pode equalizá-lo


com ganhos diferentes para cada faixa de frequência. Isso é utilizado para destacar
certas caracterı́sticas, como graves ou agudos, ou então para compensar respostas
de frequência irregulares do próprio sistema. Portanto, é comum que um bloco da
cadeia de amplificação seja dedicado a esse propósito, condicionando o sinal que irá
para o módulo de potência e que prioriza entrega eficiente e linear de potência [2].

2.5 Distorção
Tratando toda a cadeia amplificadora como um único bloco, onde se aplica um
sinal na entrada e obtém-se um sinal amplificado na carga (alto-falante) na saı́da,
podemos estabelecer, de forma simplificada, os parâmetros desejáveis ao sistema:

• Alta impedância de entrada, de forma que toda a potência do sinal seja apli-
cada na entrada do amplificador;

• Baixa impedância de saı́da quando, de forma análoga à entrada, deseja-se


maximizar a tensão aplicada à carga;

• Capacidade de entregar a potência estipulada em todo o espectro de áudio;

• Alto rendimento energético;

• Introduzir o mı́nimo de ruı́do possı́vel;

• Distorcer o sinal o mı́nimo possı́vel.

A linearidade é um dos principais parâmetros de um amplificador e define a qua-


lidade de amplificação. Ou seja, quanto menos distorcido é o sinal amplificado,
melhor. Considera-se distorção qualquer alteração imposta ao sinal original.

11
Idealmente, o sinal amplificado seria uma cópia do sinal de entrada, tendo como
única diferença a amplitude. Porém, devido ao fato dos dispositivos semicondutores
não serem lineares e, além disso, adicionarem ruı́do ao sinal, observa-se sempre
distorção em todo sistema amplificador.

Existem diversas maneiras de se avaliar o desempenho, em termos de linearidade,


de um amplificador. Elas variam de acordo com o tipo e com sua aplicação. A
principal forma de fazer essa avaliação é por meio da medição d distorção harmônica
total (THD - Total Harmonic Distortion).

A avaliação da THD consiste em aplicar ao sistema um sinal com uma determi-


nada frequência de referência, então pode-se medir o quanto esse sinal de saı́da foi
distorcido ao medir a razão entre a componente dessa frequência de referência e as
demais, que são resultado da distorção ocorrida durante a amplificação.

De acordo com a norma IHF A 202, de 1978, a distorção harmônica total é obtida
pela razão entre o valor RMS de todos os harmônicos e o valor RMS da fundamental,
como mostra a Equação (2.2). Segundo a norma IEC268-3, de 1988, a distorção
harmônica total é obtida pela razão entre o valor RMS de todos os harmônicos e o
valor RMS da fundamental somada aos harmônicos, como mostra a Equação (2.3)
[2].
qP q
n
2 A2if A22f + A23f + ... + A2nf
T HD = = (2.2)
Af Af
qP q
n
A2if
A22f + A23f + ... + A2nf
2
T HD = qP q (2.3)
n 2
1 Aif A2f + A22f + ... + A2nf

2.6 Circuitos Amplificadores e Classes de Ampli-


ficação
Classes de amplificação descrevem a configuração e o modo de operação de um
amplificador. Um sistema amplificador é dito de determinada classe, conforme a
topologia do seu módulo amplificador de potência. Diferentes classes apresentam

12
diferentes resultados em termos de eficiência energética, distorção e complexidade
de implementação. Portanto, a definição da classe aplicada está diretamente ligada
aos parâmetros de contorno do projeto e à aplicação para qual o amplificador está
sendo projetado. Se linearidade for mais importante, determinadas classes serão
mais apropriadas do que outras que, por sua vez, apresentariam vantagens em termos
de eficiência energética, por exemplo.

As classes surgem em momentos distintos da história da amplificação eletrônica.


Com o desenvolvimento de novos componentes e técnicas, foram surgindo diferen-
tes possibilidades e novas classes. No princı́pio, havia a configuração mais básica,
chamada Classe A, e então houve o surgimento da Classe B, C, e assim em diante.
As classes existentes e suas determinadas datas de aparecimento são ilustradas na
Tabela 2.2.

Tabela 2.2: Classes de amplificação de áudio e datas de seu aparecimento [6].


Classe A 1917
Classe B, AB 1945
Classe D 1963
Classe G 1977
Classe H 1983

2.6.1 Classe A

Essa classe é caracterizada por ter sempre uma corrente de polarização percor-
rendo o estágio de saı́da. Desta forma, não há corte e saturação do transistor, o
que proporciona alto nı́vel de linearidade para essa polaridade. Por outro lado, por
estar conduzindo durante todo o tempo, inclusive quando o sinal de entrada é nulo,
o rendimento energético da Classe A é muito baixo, com valor teórico máximo de
25%.

Sendo assim, apesar da grande linearidade dessa classe, o baixo rendimento traz
inconvenientes quando se precisa de uma potência elevada na saı́da, o que requere-

13
Figura 2.2: Estágio de saı́da de um amplificador operando em Classe A. Fonte:
Amplificadores de Áudio, 1a ed. Brasil, BORTONI, R. [2] [Modificada].

ria fontes de alimentação de valor extremamente elevado e grandes dissipadores de


potência, além, é claro, do desperdı́cio energético.

A Figura 2.2 ilustra um amplificador em Classe A. Apesar da Figura 2.2 ilustrar


a topologia básica, um amplificador Classe A pode conter outros transistores, em
paralelo ou série, desde que o conceito de funcionamento não mude (isso vale para
todas as classes seguintes aqui descritas).

2.6.2 Classe B

Em um amplificador Classe B, cada semiciclo é conduzido por um dos transistores


do estágio de potência. Ou seja, durante o semiciclo positivo um dos transistores
conduz e o outro fica em corte, e o contrário acontece no outro semiciclo. Os tran-
sistores são excitados pelo próprio sinal, e alternam o seu funcionamento, reduzindo
a potência dissipada e melhorando consequentemente o rendimento. A Figura 2.3
ilustra a topologia básica de um amplificador Classe B.

14
Figura 2.3: Estágio de saı́da de um amplificador operando em Classe B. Fonte:
Amplificadores de Áudio, 1a ed. Brasil, BORTONI, R. [2] [Modificada].

Entretanto, o fato do próprio sinal de entrada excitar os transistores implica que,


enquanto o sinal é menor do que a tensão de polarização (por exemplo, no caso
de transistores BJT, o sinal está aproximadamente entre ±0,7 V), os transistores
permaneçam cortados. A corrente da saı́da é nula, enquanto o sinal não é, o que é
chamado distorção de crossover, ilustrado na Figura 2.4.

O rendimento máximo teórico de um amplificador Classe B é de 78,5%. Ná prática,


o valor costuma ficar na faixa entre 50% e 60%. Muito maior que o rendimento da
Classe A ao custo de uma distorção também maior.

2.6.3 Classe AB

De forma a se aproveitar vantagens das Classes A e B, foi desenvolvida a Classe


AB. Cada semiciclo também é conduzido por um dos transistores, enquanto o outro
fica em corte. Porém, a distorção de crossover é diminuı́da ao ajustar a tensão de
polarização (bias) dos transistores, de forma que, assim que um deles corte, o outro
passe a conduzir. Nessa configuração, alcança-se um rendimento similar a Classe B,
com uma distorção bem menor, que, idealmente, se aproxima a da Classe A. É, por
esses motivos, uma das classes mais empregadas em amplificadores de áudio.

15
Figura 2.4: Distorção de crossover em um sinal senoidal de 1 kHz. Fonte:
Amplificadores de Áudio, 1a ed. Brasil, BORTONI, R. [2] [Modificada].

2.6.4 Classe C

Na Classe C, cada transistor de saı́da conduz menos da metade de um semiciclo.


Não é comum que seja aplicada em áudio, devido a grande distorção de crossover
gerada.

Uma forma de caracterizar os amplificadores da Classe A, AB, B e C, descritos até


aqui, é pela porção do sinal amplificado por cada um dos transistores, como ilustrado
na Figura 2.5. Por exemplo, no amplificador Classe A todo o ciclo é conduzido, ou
seja, 360o . No Classe B, próximo dos 180o (não chega a 180o por conta da tensão de
polarização). No Classe AB, algo entre 180o e 360o , e no Classe C, menor que 180o .

2.6.5 Classe D

Se nas classes anteriores a diferença prática fica somente por conta da porção do
sinal conduzida pelo transistor na saı́da, na Classe D a lógica de funcionamento é to-
talmente diferente. Nesta classe, a etapa de potência é chaveada em alta velocidade,
e por isso também são conhecidos como amplificadores chaveados [2].

16
Figura 2.5: Representação da porção do sinal conduzida em cada transistor
da saı́da de cada classe. Fonte: Amplificadores de Áudio, 1a ed. Brasil,
BORTONI, R. [2] [Modificada].

O sinal a ser amplificado é modulado em largura de pulso (PWM), ou seja, é


modulado em um sinal digital cujo o ciclo de trabalho é proporcional à amplitude
do sinal. A técnica para fazer a modulação consiste em comparar o sinal a uma onda
triangular. A saı́da do comparador é o sinal quadrado desejado. O valor médio do
sinal modulado é igual ao sinal de entrada.

O sinal PWM serve de controle para o estágio de saı́da, chaveando corte e sa-
turação das chaves de saı́da, normalmente MOSFETs, ligando e desligando a tensão
da fonte de potência à saı́da, que é ligada à carga por meio de um filtro passa-baixas,
que integra o sinal, restituindo-o à forma original. Os gráficos dos sinais envolvi-
dos no processo de amplificação da Classe D são mais detalhados na Figura 2.6. A
topologia básica e funcionamento são ilustrados na Figura 2.7.

Teoricamente, além do rendimento elevado, a distorção harmônica é bem reduzida.


Na prática, as chaves de saı́da não são perfeitas, apresentam resistência de condução
e tempo de comutação não nulo. Para que não haja riscos de curto da fonte, é
necessário que se empregue um tempo morto entre o desligar de uma das chaves e o
ligar da outra. Esses fatores impõem um rendimento prático menor e uma distorção
ao sinal. Quanto maior é o tempo morto, maior a distorção. Um tempo morto de 40

17
Figura 2.6: Representação dos sinais presentes em um amplificador Classe D.
Fonte: IRF - Application Note AN-107 [3] [Modificada].

Figura 2.7: Topologia básica de um amplificador Classe D. Fonte: Amplifica-


dores de Áudio, 1a ed. Brasil, BORTONI, R. [2] [Modificada].

18
ns pode criar 2% de distorção harmônica total (THD), já reduzindo o intervalo para
15 ns, melhora-se a THD para 0.2% [3]. Um outro fator que influencia na distorção
é a imperfeição da resposta em frequência do filtro passa-baixas na saı́da. Com o
avanço da tecnologia de semicondutores e do sistema de controle das chaves, já são
viáveis, na prática, valores de THD menores que 0.01% e rendimentos superiores a
90%.

Resumindo, as maiores causas de não-linearidade na Classe D são [3]:

1. A não linearidade no chaveamento do PWM por motivos como resolução limi-


tada e jitter ;

2. Inconstância na amplitude da onda quadrada do PWM;

3. Erros causados na e pela temporização dos chaveamentos (tempo morto e


velocidades não ideais de comutação das chaves/transistores);

4. Outras caracterı́sticas não ideais das chaves/transistores, como resistência fi-


nita;

5. Componentes parasitários que causam ressonância nas bordas do sinal de cha-


veamento;

6. Flutuação na tensão de alimentação, uma vez que o ganho do amplificador é


dado por esse valor;

7. Impedância finita e reativa do barramento de alimentação;

8. Não linearidade do filtro RLC de saı́da.

Com seu elevado rendimento energético, um amplificador Classe D não necessita


de grandes dissipadores, tendo assim seu tamanho reduzido. Aliado a isso, existem
diversas soluções comerciais que implementam os principais blocos semicondutores
de um amplificador Classe D em um único CI. Por vezes, o próprio alto-falante, que
apresenta resposta em frequência limitada, é usado como filtro passa-baixas. Por es-
ses motivos, amplificadores Classe D se tornaram bastante populares em aplicações
como aparelhos portáteis (como o celular) e áudio automotivo, onde tamanho e con-
sumo energético tinham prioridade à qualidade do áudio. Com o desenvolvimento de

19
semicondutores com tempo de comutação cada vez menores, habilitou-se que ampli-
ficadores Classe D pudessem ter boa performance também em termos de linearidade,
o que tornou a Classe D ainda mais versátil.

2.6.6 Classe G

Um amplificador Classe G possui dois ou mais estágios de amplificação. Até


determinada amplitude do sinal, apenas um estágio é utilizado, quando o sinal
ultrapassa esse valor, outro estágio, com outra fonte, é ativado. Sendo assim, pode-
se utilizar um amplificador Classe AB, por exemplo, como primeiro estágio, e outro
Classe C como segundo, aproveitando vantagens dessas duas classes.

Na prática, na maior parte do tempo o sinal de áudio tem fator de crista (relação
entre o valor de pico e seu valor eficaz) bem menor do que o de um sinal senoidal.
Sendo assim, o estágio mais interno estará a maior parte do tempo funcionando, e
com uma dissipação de energia menor, melhorando o rendimento energético. So-
mente quando o sinal apresentar algum pico, outro (ou outros) estágio (estágios)
de amplificação serão ativados, fornecendo uma potência maior somente quando
necessária. Usualmente, o modo de operação dos estágios superiores é Classe C,
conduzindo somente a fração necessária do sinal, a crista, enquanto os estágios in-
feriores amplificam as outras partes do sinal.

A comutação dos transistores na transição entre os estágios faz com que a distorção
seja relativamente maior do que em amplificadores Classe A e AB, além de aumentar
com o acréscimo de mais estágios [2].

2.6.7 Classe H

O conceito de funcionamento da Classe H se assemelha ao da Classe G no que diz


respeito aos estágios de amplificação. Como no anterior, dependendo da amplitude
do sinal, o valor de tensão na saı́da é escalonado para ser o mais eficiente e apropriado
possı́vel. Porém, ao contrário da Classe G que é composto de diversos estágios em
paralelo, que são ativados conforme o sinal excede determinado valor, na Classe H
um único estágio é alimentado com uma tensão variável.

20
A tensão de saı́da pode assumir diferentes valores, que são controlados por um
circuito auxiliar que, a partir do valor do sinal de entrada, seleciona o valor da
tensão no estágio de saı́da. Como a potência só é fornecida quando necessária,
o rendimento é elevado em relação às classes A e AB. A análise em termos de
rendimento e distorção é semelhante a da Classe G.

2.7 Conclusão
Com o desenvolvimento dos circuitos integrados, que integram cada vez mais
componentes em chips cada vez menores, há diversas opções no mercado de am-
plificadores Classe D que já integram oscilador, modulador, drive de chaveamento,
módulo de controle e módulo de potência em um único circuito integrado. Com o
desenvolvimento de melhores técnicas de construção e menores tempos de comutação
nos interruptores da saı́da, a distorção harmônica gerada por esses circuitos é bem
reduzida. Por outro lado, as vantagens da topologia Classe D e interruptores de
saı́da com baixa impedância permitem um rendimento energético elevado, permi-
tindo que o circuito fique compacto mesmo para nı́veis mais elevados de potência.
Dessa forma, optou-se pelo projeto de um amplificador Classe D para a aplicação
de áudio.

21
Capı́tulo 3

Projeto do Amplificador

Neste capı́tulo, serão relatadas as escolhas do projeto da etapa de potência do


amplificador classe D. Ao final dele, chega-se à lista final de componentes, design da
placa de circuito impresso e à descrição lógica do funcionamento, ou seja, todas as
informações necessárias para implementação do amplificador.

Para esse projeto foi escolhido o TAS5630B, um CI da Texas Instruments que


engloba todos os blocos descritos no parágrafo anterior. Ele possibilita a entrega de
300 W para um sistema estéreo (e até 400 W para um Mono), mantendo a vantagem
da eficiência de um classe D (maior que 88%, graças a MOSFETs de saı́da com 60
mΩ de ”resistência ON”) e a performance tı́pica de um classe AB, com THD inferior
a 0.03% (com THD plano em todo o espectro de áudio).

Além de suas especificações, outros fatores relevantes na escolha desse amplificador


são: poder ser alimentado com uma fonte simples (não simétrica); sua flexibilidade
na configuração do número de canais; e seu alto nı́vel de proteção à curto-circuitos
e sobrecarga.

O sistema desejado foi projetado conforme o diagrama de blocos principais da


Figura 3.1.

22
Figura 3.1: Diagrama de Blocos do sistema completo.

3.1 Modos de Operação - Canais e Modulação


O TAS5630b possui 4 pinos de entrada e 4 terminais de saı́da, com uma meia-
ponte para cada um. São permitidas diversas configurações de entrada e saı́da:

• Uma das opções é de se utilizar 4 canais em modo de ponte simples. Nesse caso,
as entradas e saı́das são dadas em sinais simples (com valor dado em relação
a referência) e utilizam uma meia-ponte por canal. Chamado comumente de
modo SE (acrônimo de Single Ended ).

• A segunda opção é de utilizar 2 canais em ponte completa. Nesse caso, as


entradas e saı́das são dadas em sinais diferenciais (com valor dado pela dife-
rença entre os terminais positivo e negativo) e utilizam duas meias-pontes por
canal, em topologia de ponte completa. Chamado comumente de modo BTL
(acrônimo de Bridge Tied Load ).

• Há a opção de se utilizar 1 canal em BTL e 2 canais em SE, seguindo as


caracterı́sticas dessas topologias.

• Há ainda uma outra opção com apenas 1 canal em modo PBTL (Parallel
Bridge-Tied Load). Que consiste em uma entrada diferencial e a utilização

23
de 4 meia-pontes na saı́da de potência, duas em paralelo para cada terminal,
aumentando assim a capacidade de fornecimento de corrente para a carga,
tendo nisso sua principal vantagem.

Além das configurações de topologia disponı́veis, pode-se selecionar também o


tipo de modulação empregado:

• Modulação AD: tradicional, que consiste na comparação entre uma onda tri-
angular e o sinal modulante, o resultado é o PWM do sinal que controla uma
das chaves da meia ponte de saı́da; já o inverso desse sinal PWM controla
as outras chaves. O funcionamento da modulação é explicado na seção 2.6.5
com a ajuda da Figura 2.7. Para comparação entre os modos, pode-se ver o
esquema e sinais da modulação AD na Figura 3.2

• Modulação BD: o sinal também é comparado com uma onda triangular para
gerar o PWM tradicional, mas o seu inverso também o é, para gerar um outro
sinal PWM (diferente do inverso do PWM original). Cada um desses sinais
controla uma das meia-pontes de saı́da. Tanto o esquema quanto os sinais
envolvidos podem ser vistos na Figura 3.3.

A escolha da configuração de entrada e saı́da se dá por meio de alguns parâmetros


do projeto. A potência média fornecida à carga, o tipo e valor da fonte de tensão
empregada e, principalmente, a necessidade de canais na aplicação desejada.

As vantagens de se implementar uma fonte chaveada e de tensão simples no lugar


de uma simétrica, com tensão positiva e negativa em relação à referência, se mostra-
ram desde o inı́cio do projeto, impactando inclusive na escolha do CI amplificador
escolhido (um que permitisse tal tipo de fonte). Por essa razão, a aplicação em
ponte-completa com sinais diferenciais tende a ser vantajosa.

No caso da aplicação de fonte, sinais e pontes simples (SE), a excursão do sinal


de saı́da se limita ao valor da fonte, tendo como referência a metade desse valor. Ou
VDD
seja, excursiona entre 0 V e VDD , com centro em , valor de offset indesejado.
2
Já em ponte completa e com sinais diferenciais (BTL ou PBTL), mesmo com fonte

24
Figura 3.2: Esquema da modulação AD com sinais envolvidos em tempo nor-
malizado (t*fs). Fonte: Texas Instruments - Application Report SLOA119B
[4] [Modificada].

25
Figura 3.3: Esquema da modulação BD com sinais envolvidos em tempo nor-
malizado (t*fs). Fonte: Texas Instruments - Application Report SLOA119B
[4] [Modificada].

26
Figura 3.4: Circuito simplificado equivalente para cálculo da potência de
saı́da. Esquemático montado com o software OrCAD Capture CIS.

simples, a excursão teórica é de duas vezes o valor da fonte, já que o sinal resultante
é a diferença entre os dois terminais de saı́da, a tensão que de fato é aplicada à
carga, ligada entre eles. Por essa razão, a tensão na carga varia de VDD à -VDD
(mesma tensão, mas aplicada no sentido inverso). Então não há offset, os terminais
VDD
ficam com o mesmo valor DC, em , com diferença DC zero entre eles.
2

3.1.1 Topologia e Potência Média de Saı́da

A excursão de sinal limitada do modelo em ponte simples também desfavorece a


potência fornecida à carga, quando comparada ao modo com ponte completa, que
teoricamente pode fornecer o dobro de amplitude a uma mesma carga. Além disso,
há a limitação de corrente que cada meia-ponte é capaz de conduzir.

Para calcular a potência média na saı́da, pode-se simplificar o sistema como uma
fonte de tensão e um resistor de carga, como ilustrado na Figura 3.4. Nesse caso, a
Equação (3.1) dá a potência média na carga. Substituindo a lei de Ohm na Equação
(3.1), se obtém a igualdade da Equação (3.2). O valor dentro dos parênteses é
igual ao quadrado do valor da tensão RMS, mostrado na Equação (3.3). Substi-
tuindo, chega-se a Equação (3.4), que, daqui em diante, será utilizada para calcular
a potência na saı́da por meio do valor da tensão RMS medida na carga.

Z T
1
P = v(t)i(t)dt (3.1)
T 0

27
T T
[v(t)]2
Z  Z 
v(t) 1 1 1 2
i(t) = ⇒P = dt = [v(t)] dt (3.2)
RL T 0 RL RL T 0

s
Z T
1
VRM S = [v(t)]2 dt (3.3)
T 0

1
P = (V 2 ) (3.4)
RL RM S

Como o valor de fonte escolhido é de 48 V, em meia-ponte, a amplitude máxima


teórica na saı́da é de 24 V. Já em ponte completa, de 48 V. Considerando um sinal

senoidal, em que o valor RMS é dado pela divisão da amplitude por 2 e uma carga
projetada de 4 Ω, pode-se calcular a potência máxima para o meia-ponte com a
Equação (3.5) e, para o ponte completa, na Equação (3.6).
 2 
24

2
V
1 2
P = (VRM S) = = 72W (3.5)
RL 4Ω
 2 
48

2
V
1
P = (V 2 ) = = 288W (3.6)
RL RM S 4Ω

3.1.2 Outras Vantagens da Configuração em Ponte Com-


pleta

Além das vantagens em excursão e, consequentemente, entrega de potência e


cancelamento de offset DC, outros fatores favoreceram a configuração em ponte
completa.

O sinal diferencial também melhora a performance de áudio. Todo o ruı́do comum


aos dois polos do sinal é rejeitado, reduzindo consideravelmente o ruı́do total. Há
também o cancelamento de harmônicos pares, melhorando a linearidade.

Outro fator agravado pelo uso de pontes simples é o chamado “Bus pumping”,
quando a energia flui de volta da carga para a fonte, gerando grande flutuação do seu
nı́vel de tensão. Isso ocorre principalmente em baixas frequências, com a energia

28
Figura 3.5: Representação dos sentidos de corrente e do fenômeno de “Bus
pumping”. Fonte: IRF Application Note AN-1071 - Class D Audio Amplifier
Basics [3] [Modificada].

armazenada nos indutores do filtro de saı́da sendo devolvida para fonte. É uma
caracterı́stica dos amplificadores classe D, gerando problemas de sobrecarga, já que,
normalmente, a fonte não tem como absorver essa energia retornada. Há também
problemas de linearidade, já que o ganho do classe D depende diretamente do nı́vel
de tensão da fonte [3]. Com a topologia em ponte completa, cada meia-ponte tende
a consumir essa energia que voltaria para a fonte, como ilustrado na Figura 3.5,
evitando esse efeito.

3.1.3 Conclusão sobre configuração dos canais e modulação

Em geral, no mercado, são encontradas diversas configurações de sistemas de


reprodução de áudio, por exemplo:

• Estéreo: 2 canais, esquerdo e direito (aproveitando a capacidade psicoacústica


do ser humano para emular profundidade e direção da origem do som);

• 2.1: 3 canais, 2 como em um sistema estéreo e mais 1 especı́fico para os graves;

29
• 5.1: 6 canais, 2 dianteiros e 2 traseiros, espalhados em esquerda e direita, 1
central e mais 1 especı́fico para os graves (criando a sensação de tridimensio-
nalidade ao som);

• 7.1: 8 canais, seguindo a mesma lógica de cercar o ouvinte com alto-falantes e


dedicar um deles aos graves;

O número de canais pode ser ainda maior em sistemas implementados em cinemas,


carros de luxo e sistemas caseiros de ponta.

Ao contrário do que pode se dar em outros projetos, a aplicação em ponte completa


não exige maior complexidade ou emprego de mais componentes nesse caso. Sendo
assim, utilizar pontes e sinais simples teria como única vantagem o maior número
de canais, o que realmente torna o sistema versátil.

A demanda de projeto é entregar pelo menos 200 W em uma carga de 4 Ω, o que


supera o modo SE, mas sem exigir o modo PBTL. Optou-se então, por uma confi-
guração com pelo menos um dos canais em BTL, o que já cumpriria as especificações,
mas deixando mais canais livres para tornar o sistema mais versátil.

As duas opções seriam seguir com o projeto de um sistema 2.1, com dois canais
em SE e um em BTL, ou com um estéreo simples, com os dois em BTL. Em vir-
tude de se aproveitar as vantagens práticas de se ter um protótipo com dois canais
“iguais”, tanto em testes, como no fato de um ser sobressalente ao outro, optou-se
pelo segundo.

Em relação à modulação, a grande vantagem do modo BD é que, por não possuir


significante modo comum, a eficiência é ligeiramente superior ao AD. Porém, por
sua própria natureza, só é possı́vel executá-la em ponte completa. Além disso, a AD
é mais intuitiva à análise e ao projeto do filtro de saı́da. Por esses motivos, a AD
foi escolhida para esse projeto.

30
Figura 3.6: Diagrama de Blocos do sistema de alimentação.

3.2 Sistema de Alimentação


Como foi detalhado na seção 3.1.1, para alcançar o objetivo da entrega de 200
W RMS na carga com impedância de 4 Ω, o valor mı́nimo da tensão seria de 40 V.
Como esse valor já representa um limite teórico (na prática, é necessário aplicar uma
margem à ele), e também considerando os valores nominais de fontes disponı́veis no
mercado (mais comuns de 5 V, 12 V, 24 V, 36 V, 48 V, por exemplo), optou-se por
uma fonte de 48 V.

Entretanto, além dos 48 V, que alimentarão o módulo de potência, são necessários


outros valores de tensão de alimentação. Para o driver que chaveia o módulo de
potência, é necessário uma alimentação de 12 V contı́nuos, já para o funcionamento
de todo o circuito lógico e oscilador do integrado, será necessário outro nı́vel com 5
V contı́nuos. Portanto, o diagrama de blocos do sistema de alimentação fica como
na Figura 3.6.

Para os demais valores de tensão, a solução é utilizar a mesma fonte ligada a


reguladores de tensão, um para “abaixar” a tensão até 12 V e outro para 5 V. O
regulador utilizado nos dois casos foi o LM317HV. O sufixo HV (High Voltage), que

31
Figura 3.7: Aplicações Tı́picas para o LM317HV - Regulador de tensão
ajustável para alta tensão, de 1,25 V a 45 V. Fonte: Texas Instruments -
Datasheet do LM317HV [5].

o difere do simples LM317, é relevante a esse projeto pois significa que o regulador
admite até 60 V na entrada, diferente dos 37 V máximos da versão mais simples.
O circuito no qual o regulador foi aplicado pode ser visto na Figura 3.7. Nessa
configuração, segundo o datasheet do LM317HV [5], o valor da tensão regulada
na saı́da é definido pela Equação (3.7), onde Iadj (R2 ) é a corrente no resistor R2 .
Resolvendo-a para os valores de 5 V e 12 V, e considerando limitações de valores
comerciais, foram escolhidos os pares: R1 = 1, 6 kΩ e R2 = 4, 7 kΩ, para 5 V; e
R1 = 300 Ω e R2 = 2, 7 kΩ, para 12 V.

R2
Vout = 1, 25V (1 + ) + Iadj (R2 ) (3.7)
R1

3.2.1 Capacitores de Desacoplamento

Para garantir a performance elétrica e acústica, todo o caminho do sinal modulado,


em cada meia-ponte, é idêntico porém independente dos demais, ou seja, cada um
possui pinos separados para alimentação dos módulos de potência (PVDD x), para
alimentação dos drivers de gate (que acionam o chaveamento dos MOSFETs da
saı́da) (GVDD x), e pinos de Bootstrap (BST x), além de um pino separado (VDD )

32
para alimentar os outros circuitos internos comuns. Portanto, mesmo que sejam
alimentados pela mesma fonte, todos esses pinos devem possuir circuitos de desaco-
plamento individuais, com o cuidado de posicionar os capacitores de desacoplamento
fisicamente próximos de cada pino.

Levando em consideração as especificações do projeto em termos de potência,


eficiência e aplicabilidade, optou-se pelo uso de uma fonte chaveada. As fontes cha-
veadas são estáveis, eficientes e de tamanho reduzido. Porém, apresentam ruı́do
elevado na frequência de chaveamento e seus harmônicos. O que influencia negati-
vamente na performance de áudio e no funcionamento da parte lógica dos CIs. No
caso de um amplificador classe D, não só o ruı́do no espectro de áudio é prejudicial
ao desempenho, como também na faixa de frequência da modulação. Por isso, o
cuidado com o emprego de capacitores de desacoplamento é tão importante.

Como a faixa de áudio começa em valores relativamente baixos de frequência,


capacitores com maiores capacitâncias devem ser empregados por possuı́rem uma
resposta em frequência com impedância reduzida para o ruı́do desde frequências
mais baixas. Entretanto, no modelo prático de um capacitor, podemos aproximá-lo
para uma associação em série de um capacitor, uma resistência e uma indutância,
com valores que dependem do material, construção, tamanho e encapsulamento do
próprio capacitor. Por isso, a partir da frequência de ressonância dessa associação,
a parcela indutiva domina a impedância, que “volta” a crescer e faz com que deixe
de “filtrar” ruı́do em frequências relativamente maiores. Com maior capacitância,
capacitores são fisicamente maiores e possuem maior indutância associada, devido
ao loop que a corrente faz no próprio capacitor. Além disso, o tamanho fı́sico desses
capacitores faz com que eles tenham que ficar mais afastados do CI amplificador, o
que será tratado adiante no projeto da PCB, fazendo com que a indutância composta
pelas trilhas até o CI também comprometa o desacoplamento.

Como o chaveamento faz com que o ruı́do apresentado tenha harmônicos em


uma faixa larga de frequência, é ideal que o desacoplamento apresente uma baixa
impedância para o maior intervalo de frequências possı́vel. Por isso o emprego de
capacitores de desacoplamento de diferentes tamanhos, valores de capacitância e

33
tipos. [12]

Para desacoplamento na alimentação de potência, o fabricante do TAS5630B re-


comenda a utilização de quatro capacitores de 1000 µF ligando cada PVDD x ao
terra. Já bem próximos ao chip, são empregados capacitores de 2.2 µF de cerâmica
X7R SMD de baixa impedância interligando cada pino de PVDD x e seu respectivo
GND x. Além da conexão em cada meia ponte, na ligação da fonte na placa, mais
um capacitor de 47 µF e outro de 10 nF são responsáveis por garantir um “terra
AC local”, em baixas e altas frequências.

Para a tensão aplicada no acionamento da chave GVDD x, é recomendado que se


conecte um resistor de 3.3 Ω em série, para isolamento já que essa tensão é aplicada
aos gates das pontes de potência, e capacitores de 100 nF para a terra, com função
de desacoplamento.

Outros capacitores de 100 nF são aplicados para desacoplamento ligando cada


um dos pinos de VDD e VREG ao terra. O mesmo é feito entre VDD e VSS do
microcontrolador, conectados por um capacitor também de 100 nF, valor usual.

3.3 Filtro de Saı́da


Uma das partes mais importantes no projeto de um amplificador classe D é o pro-
jeto do filtro demodulador da saı́da. Trata-se de um filtro passa-baixas, responsável
pela recuperação do sinal original após a modulação caracterı́stica do funcionamento
dos amplificadores dessa classe. No mundo ideal, esse filtro deveria cortar todo o
sinal que não corresponde ao sinal de entrada do amplificador, retirando qualquer
componente advinda da modulação executada.

É desejado que o filtro de saı́da tenha uma resposta em frequência plana na


banda passante e é preciso que a atenuação na frequência da portadora (400 KHz)
seja suficiente para garantir o desempenho de áudio e de distribuição harmônica
especificados. Com base nisso, foi projetado um filtro Butterworth de segunda
ordem, como ilustrado na Figura 3.8.

34
Figura 3.8: Topologia Butterworth. Fonte: Texas Instruments - Application
Report SLOA119B [4].

Tendo em vista que a carga será ligada em esquema de ponte, considera-se a


configuração como mostrado na Figura 3.9.

De forma a analisar e projetar o filtro, podemos representar esse mesmo filtro


como na Figura 3.10. Tendo em vista que Vin+ e Vin- são cada um o inverso do
outro, essa configuração é equivalente à primeira, por simetria. O capacitor CBTL
pode ser considerado como dois capacitores com o dobro de sua capacitância em
série. Por sua vez, a carga deve ser considerada como duas resistências em série com
metade do valor. A relação entre os componentes da Figura 3.10 e 3.8 é descrita nas
Equações (3.8), (3.9) e (3.10):

C
CBT L = (3.8)
2
RBT L = 2R (3.9)

LBT L = L (3.10)

A função de transferência pode ser calculada como em um divisor de tensão entre


o indutor e o paralelo entre capacitor e resistor, como mostrado na Equação (3.11).

35
Figura 3.9: Topologia Butterworth Aplicada à Carga em Esquema de Ponte.
Fonte: Texas Instruments - Application Report SLOA119B [4].

Figura 3.10: Circuito Equivalente. Fonte: Texas Instruments - Application


Report SLOA119B [4].

36
1 R 1
Vout ( sC //R) 1+sRC LC
H(s) = = 1 = R
= 1 1 (3.11)
Vin sL + ( sC //R) sL + ( 1+sRC ) s2 + s RC + LC

Equacionando como um sistema caracterı́stico de segunda ordem, como na Equação


(3.12), podemos obter as Equações (3.13), (3.14) e (3.15), onde ω0 é a frequência
angular de corte (igual a 2.π.f0 , onde f0 é a frequência de corte do filtro), ’Q’ é o
fator de qualidade do filtro, e ’A’ é uma constante.

1
A LC
H(s) = = (3.12)
s2 + s ωQ0 + ω02 1
s2 + s RC + 1
LC

1 1
ω0 = √ =√ (3.13)
LC 2LBT L CBT L
r √ r
C 2 CBT L
Q=R = RBT L (3.14)
L 2 LBT L

1
A= = ω02 (3.15)
LC

Picos na frequência de ressonância são indesejados, de forma a evitar danos aos


componentes do circuito e ativação da proteção de curto circuito dos circuitos in-
tegrados, além da influência negativa na performance de áudio. Por outro lado, se
o filtro for dimensionado com comportamento superamortecido, pode-se obter um
resultado com perda em sinais de alta-frequência, ou baixa atenuação na frequência
da portadora. Por essas razões, o dimensionamento foi feito para se obter com-
portamento criticamente amortecido, o que acontece para Q = √1 , resultando nas
2

Equações (3.16) e (3.17) para o dimensionamento dos componentes:

1
CBT L = √ (3.16)
2ω0 RBT L

RBT L
LBT L = √ (3.17)
2ω0

Considerando que a resistência do alto-falante é de 4 Ω, constrói-se a Tabela 3.1


com os valores dimensionados de capacitores e indutores de acordo com a frequência
de corte do filtro:

37
Tabela 3.1: Valores calculados para CBT L e LBT L para as determinadas frequências
de corte.
f0 (KHz) ω0 (Krad/s) CBT L (µF ) LBT L (µH)
25 157,08 1,13 18,01
30 188,50 0,94 15,01
35 219,91 0,80 12,86
40 251,33 0,70 11,25
45 282,74 0,63 10,00
50 314,16 0,56 9,00

O fabricante do circuito integrado do amplificador, a Texas Instruments, oferece


dados empı́ricos que ajudam no dimensionamento dos componentes, como a Tabela
3.2, que fornece dados empı́ricos de performance do amplificador dados determi-
nados valores do par LBT L e CBT L . A análise feita pelo fabricante é semelhante
à descrita aqui anteriormente [4], e a topologia final empregada por eles pode ser
vista na Figura 3.11. A diferença fica por conta dos capacitores adicionais Cg , que
são empregados para prover desacoplamento de alta-frequência. O recomendado é
que eles sejam dimensionados por volta de 10% do valor escolhido para o capacitor
CBT L .

Tabela 3.2: Valores de componentes recomendados para uma carga de 4 Ω em


modulação AD e resultados empı́ricos obtidos com eles. Fonte: Texas Instruments
- Application Report SLOA119b [4].

Pico em Ganho em THD+N em


Q f0 20 kHz LBT L CBT L Cg 250 kHz 1 W e 1 kHz
(kHz) (dB) (µH) (µF ) (µF ) (dB) (%)
0,7 31 -0,85 15 1,0 0,18 -36 0,0776
0,94 23 29 15 1,5 0,27 -42 0,07612
0,7 46 -0,22 10 0,56 0,1 -29 0,09049
1,15 28 2 10 1,5 0,27 -38 0,10625

38
Figura 3.11: Topologia Final para o filtro passa-baixas de saı́da. Fonte: Texas
Instruments - Application Report SLOA119B [4].

Finalmente, com base nas Tabelas 3.1, 3.2 e na viabilidade comercial dos compo-
nentes (com base no preço e disponibilidade). Determinou-se os valores:

CBT L = 560nF (3.18)

LBT L = 10µH (3.19)

CG = 100nF (3.20)

Os capacitores do filtro devem suportar pelo menos 48 V, valor fornecido pela fonte
de tensão do circuito, que será chaveada na carga. Considerando uma margem de
segurança e as opções comerciais, devem ser escolhidos componentes que suportem
pelo menos 63 V. Já para o caso dos indutores, estes devem suportar uma corrente
de até 15 A, que é o valor máximo de corrente que aciona a proteção de curto-circuito
do TAS5630B.

39
3.4 Sistema de Controle
O projeto deste amplificador prevê futuras melhorias e, portanto, foi escolhido que
o controle lógico seria feito por um microcontrolador, possibilitando a adaptação de
novas funções facilmente via software. Para a tarefa, o microcontrolador escolhido
foi o PIC16F628A, de 8 bits, 18 pinos e 16 portas de entrada e saı́da configuráveis.
Sendo assim, os sinais de controle contemplados neste trabalho se utilizariam de
parte das portas, com as restantes ficando livres para uso posterior. O projeto da
PCB deve então facilitar o acesso fı́sico às portas, o que será descrito na seção 3.6.

O sistema de controle contempla:

• O Controle de Temperatura: A leitura dos sinais de alerta de sobreaqueci-


mento, provenientes do TAS5630B, e atuação com acionamento da ventoinha
e sinalização luminosa;

• O Controle do sinal de Reset: Atuação lógica no sinal que ativa e desativa o


estágio de potência, além de reiniciar estados de erros. ;

• Sinalização de Ready: A leitura do sinal que indica que o sistema está pronto
e em correto funcionamento e atuação com sinalização luminosa;

• Sinalização de Clip: A leitura do sinal que indica a saturação do sinal de saı́da,


que causa distorção e atuação com a sinalização luminosa;

3.4.1 Controle de Temperatura

O TAS5630b, em sua versão com encapsulamento PHD de 64 pinos, apresenta dois


alarmes de temperatura elevada, na forma dos sinais dos pinos OT W 1 e OT W 2.
O pino OT W 1, normalmente em nı́vel lógico alto, recebe nı́vel baixo quando a
temperatura do chip atinge 100 ◦ C ± 5 ◦ C. Por sua vez, o OT W 2 recebe nı́vel baixo
quando a temperatura atinge 125 ◦ C ± 10 ◦ C.

Quando a temperatura ultrapassa 155 ◦ C, o chip entra em modo de proteção ao


superaquecimento, o sinal do pino SD recebe nı́vel baixo e as saı́das do amplificador
ficam em modo de alta-impedância. Para deixar esse modo e voltar a funcionar, o

40
Figura 3.12: Circuito para acionamento da ventoinha. Esquemático montado
com o software OrCAD Capture CIS.

RESET deve ser acionado. É recomendado que, nesse caso, não se ative o RESET
até que o OT W 1 esteja desativado.

Os sinais de controle da temperatura serão monitorados pelo microcontrolador,


que acionará a ventoinha quando o sinal OT W 1 for ativado e indicará, por meio do
acendimento de um LED, a ativação do sinal OT W 2. Em caso de entrada em modo
de proteção por superaquecimento, fará com que seja respeitada a precaução de se
esperar a queda de temperatura até que o RESET seja ativado.

A porta RB3 do microcontrolador fica ligada ao circuito da Figura 3.12. Ao


interpretar que o acionamento da ventoinha se faz necessário, com a leitura OT W 1,
a porta RB3 recebe sinal 1, de forma que o MOSFET U5 entre em modo de condução,
aplicando assim a tensão de 12 V necessária para o acionamento da ventoinha.

3.4.2 Demais Controles

Apesar de não necessitar de um procedimento ao ligar e desligar, é recomendado


que a entrada RESET esteja em nı́vel baixo durante o inı́cio de funcionamento, per-
mitindo que um circuito interno carregue os capacitores de bootstrap, habilitando
um pulldown suave na saı́da de meia-ponte. O sinal de áudio só deve ser apli-

41
cado quando o sinal READY estiver em nı́vel alto, evitando assim estalos e cliques
audı́veis. Também é recomendado que o RESET esteja em nı́vel baixo ao desligar
o circuito, evitando também os estalos e cliques indesejados. Esses sinais serão lidos
e controlados pelo microcontrolador, garantindo a lógica de funcionamento correta
[13].

Toda falha que resulte no desligamento do amplificador, quando o módulo de


potência entra instantaneamente em modo de alta-impedância, é sinalizada pelo si-
nal SD, que então assume nı́vel baixo. Essas falhas podem ser por curto-circuito,
sobrecarga, tensão muito baixa ou superaquecimento. No caso do problema de tem-
peratura, os sinais OT W 1 e OT W 2 também estarão ativos (baixos). Para atribuir
novamente nı́vel alto a SD e religar o sistema, o RESET deve ser ativado.

Em todos esses casos de erro, todos os sinais são lidos pelo microcontrolador que
aciona o RESET devidamente. Caso o problema seja outro, como sobrecarga, por
exemplo, o RESET é acionado e posteriormente liberado. Se o problema não for
resolvido após o acionamento do RESET e o sinal SD ainda estiver ativo, o processo
é repetido. Caso o erro persista após três tentativas, o sistema assume o estado de
erro e mantém o amplificador desativado e com saı́da em alta-impedância. Um LED
indicando erro será aceso para que uma eventual checagem do problema seja feita.

Outro sinal que deve ser analisado pelo sistema de controle é o CLIP , que indica
quando o sinal na saı́da está se aproximando da saturação. Quando ele é ativado,
um LED é aceso para que se possa diminuir a amplitude na entrada.

Por fim, o sinal de READY indica que o sistema amplificador está pronto e
funcionando corretamente. O sinal de áudio só deve ser aplicado à entrada do
amplificador uma vez que esse sinal esteja em nı́vel lógico alto. Um LED é aceso
para indicar que o sistema está pronto.

Ao ligar o microcontrolador, todos as portas de saı́da são acionadas por um curto


perı́odo de tempo para que se cheque o funcionamento dos LEDs e da ventoinha.

42
O código fonte do algoritmo descrito nesta seção pode ser encontrado no Apêndice
A.

3.5 Blocos Auxiliares

3.5.1 Filtro DC de Entrada

Para eliminar qualquer nı́vel DC no sinal de entrada, é empregado um filtro


simples, que pode ser visto na Figura 3.13. Um capacitor de desacoplamento DC de
10 µF (CDC da figura) em série com um par RC com 100 Ω (R da figura) e 100 pF
(C da figura), que são valores tı́picos do datasheet do amplificador.

Para validar esses valores, foram calculadas as frequências de corte inferior e su-
perior. Para altas frequências, a frequência de corte ocorre quando a impedância
do capacitor de 100 pF passa a ser pequena o suficiente para dividir a tensão de
entrada com o resistor R. Nessa ordem de frequência, o capacitor de 10 µF apresenta
impedância desprezı́vel quando comparada ao menor, podendo ser considerado um
curto-circuito, sem que isso afete consideravelmente o valor encontrado nos cálculos.
Já a resistência de entrada do amplificador Rin , de 33 kΩ (com o sinal Ready ati-
vado), pode ser considerada circuito aberto quando comparado à R, muito menor.
A frequência de corte superior é dada então pela Equação (3.21), que considera
somente o par 100 Ω e 100 pF.

A frequência de corte inferior ocorre quando a impedância do capacitor de 10 µF


é pequena o suficiente para dividir a tensão de entrada com a Rin . Nessa ordem de
frequência, C tem impedância tão elevada que pode ser considerado circuito aberto.
Já o resistor de 100 Ω, em série com CDC e Rin , de 33 kΩ, pode ser considerado
um curto-circuito. A frequência de corte superior é dada então pela Equação (3.22),
que considera somente o par 300 kΩ e 10 µF.

1 1
fc = ⇒ = 15, 9M Hz (3.21)
2πRC 2π.100Ω.100pF

1 1
fc = ⇒ = 482, 3mHz (3.22)
2πRC 2π.33kΩ.10µF

43
Figura 3.13: Filtro DC de Entrada. Esquemático montado com o software
OrCAD Capture CIS.

Os circuito isola a entrada de um nı́vel DC e não interfere nas frequências audı́veis.


Foram empregados filtros semelhantes nas entradas ’a’ e ’b’, que recebem v in+ e v in−
do canal 1; e ’d’ e ’c’, que recebem v in+ e v in− do canal 2.

3.5.2 Circuito de Reset

Além do controle do Reset, via software, descrito na seção 3.4, esse sinal pode ser
acionado manualmente por meio de um botão. Para isso, foi incluida uma chave,
como no diagrama da Figura 3.14. R18 e R19 são resistores de Pull-Up/Pull-Down
conectados de forma que o microcontrolador defina nı́vel baixo ou alto no pino
RESET , mas que, caso o botão de Reset esteja fechado, seja sobreposto por nı́vel
baixo (ativo), por isso R18 muito menor que R19.

3.5.3 Circuito Seletor de Modo

Com base no explicado na seção 3.1, a configuração projetada conta com entrada
de sinal diferencial, dois canais em BTL e com modulação AD (tradicional). A
seleção dos modos é dada pela combinação de valores de nı́vel lógico alto e baixo
nos pinos 20 (M1), 21 (M2) e 22 (M3) (As entradas de sinal C e D selecionam a
modulação no caso do PBTL, em que elas não seriam utilizadas para entrada de
sinal). No caso projetado, M1, M2 e M3 devem ser ligados ao terra do circuito.

44
Figura 3.14: Circuito para seleção do Reset Esquemático montado com o
software TINA-TI.

3.5.4 Capacitores de Realimentação

Amplificadores classe D tem seu ganho definido pelo nı́vel de tensão da fonte de
alimentação. Por essa razão, são muito mais sensı́veis à variação do nı́vel de tensão
durante a operação, causando distorção do sinal. Esse fator ainda é agravado pelo
fenômeno de “Bus pumping”, explicado na seção 3.1.2.

Para resolver isso, se utiliza um sistema de realimentação negativa em malha


fechada. No caso deste projeto, o TAS5630b já implementa um sistema de reali-
mentação próprio, com nome comercial de PurePathTM HD. Os únicos componentes
externos necessários são capacitores para cada meia-ponte (quatro no total, dois por
canal). Foram escolhidos capacitores que seguissem fielmente as recomendações do
fabricante: valor de 33 nF para a dada frequência do PWM; com dielétrico cerâmica
X7R; e tamanho 0603 ou 0805. Sendo utilizados os de 0805 da fabricante KEMET.

3.5.5 Controle de Frequência de Modulação

O TAS5630b possui oscilador interno para gerar o sinal modulante. A frequência


de oscilação pode ser ajustada por meio do valor de resistência ligado ao FREQ ADJ

45
(pino 12). O valor nominal é de 400 kHz quando se liga um resistor de 10 kΩ entre
o pino de controle e o terra do circuito. Com o intuito de reduzir problemas no
caso de se utilizar um receptor de rádio em banda AM, pode-se selecionar valores
menores de oscilação: 333 kHz conectando um resistor de 20 kΩ ou 300 kHz com
um resistor de 30 kΩ.

Outra opção é utilizar um oscilador externo, para casos em que se queira sincro-
nizar mais de um amplificador ou para que se chaveie o módulo com uma outra
frequência. Nesses casos, pode-se configurar o módulo de operação slave ligando o
FREQ ADJ ao VREG (pino 9). Nesse caso os pinos OSC I/O+ e OSC I/O+ são
configurados como entrada para o clock externo [13].

Para os propósitos deste trabalho, a frequência nominal de 400 kHz funciona


perfeitamente, então o resistor selecionado é de 10 kΩ.

3.5.6 Outros Componentes

Outros componentes são necessários para o funcionamento correto do TAS5630b,


são fixos e determinados no seu datasheet [13].

Para a rampa de Start-up, é necessário um capacitor de partida ligado do pino 3


ao terra do circuito. Para o modo BTL, o valor dele é de 4,7 nF.

Os nós de referência do comparador analógico e o da PSU (Power Supply Unit,


unidade interna de fonte de tensão) necessitam de capacitores de desacoplamento
ligados ao terra do circuito. Para o comparador, de 1 nF. Para a PSU, de 330 pF.

O controle de curto circuito pode ser ajustado com um resistor ligado do pino de
controle ao terra do circuito. Esse resistor pode variar entre 22 kΩ e 68 kΩ, sendo
que, quanto menor a resistência, maior o nı́vel de proteção. Para a proteção usual,
com corrente máxima de 15 A, o resistor deve ter o valor de 24 kΩ.

46
3.6 PCI - Placa de Circuito Impresso
No projeto de um amplificador Classe D, o design da PCI é especialmente relevante
quando comparado às Classes A e AB, por exemplo. Isso ocorre, principalmente,
por causa da alta frequência envolvida na modulação e chaveamento do circuito.

As trilhas condutoras de uma placa de circuito impresso são potenciais emissores


e receptores de ondas eletromagnéticas. A interferência eletromagnética (EMI -
Electromagnetic Interference) faz com que ruı́do seja adicionado ao sinal, piorando
a qualidade do áudio. Porém, para que sejam antenas eficientes devem possuir um
quarto do comprimento de onda do sinal, que por sua vez está relacionado à sua
frequência, de acordo com a Equação (3.23), onde ’λ’ é o comprimento de onda, ’c’
é a velocidade da luz, ’f’ a frequência e ’r ’ a permissividade relativa do meio em
relação ao vácuo.

c
λ= √ (3.23)
f r

Para sinais que se limitam ao espectro de áudio (com limite superior de 20 kHz),
o quarto de comprimento de onda mı́nimo é de 3750 m, no ar (r ∼ 1). Já para
200 MHz, esse valor cai para 37,5 cm. Considerando uma placa de fibra de vidro
ou FR4, esses valores caem para menos da metade, considerando que o material
causa um “efeito encurtador” nas ondas que o atingem, devido a permissividade de
aproximadamente 4,8 [14].

Além do problema de EMI, “o circuito contém correntes elevadas sendo comutadas


em uma frequência elevada. Portanto, deve-se tomar cuidados para evitar danos
por picos de alta tensão.” [13]. Outros cuidados devem ser tomados para garantir o
desacoplamento da fonte e apropriado roteamento do sinal de entrada.

A lista de precauções no design, compiladas dos manuais [13] e [14] são:

• Localizar os capacitores de desacoplamento entre a fonte e o terra, onde ocor-


rem flutuações de tensão. Capacitores mal alocados podem agravar o problema
de interferência eletromagnética.

47
• Atenção na alocação dos capacitores de realimentação, que devem ficar o mais
perto possı́vel do CI, para criar um caminho de baixa impedância e indutância.

• Planos de alimentação devem ser afastados da borda da placa.

• Apropriada filtragem de conectores da placa.

• Evitar o design de antenas de loop, quando as correntes de ida e de retorno


estão em um caminho de condução bem definido.

• Manter as trilhas do amplificador para o alto-falante o mais curtas possı́vel.


As trilhas e os cabos até o falante são locais prováveis de agir como antenas
quando o comprimento chega a λ/4.

Seguindo essas orientações, fez-se o layout da PCB. O software utilizado foi o


Eagle ,
R da Autodesk. Com a ferramenta, montou-se o esquemático do circuito

(Figura 3.15). Deve-se ter o cuidado de adicionar cada componente com modelo
de simbolo de esquemático, footprint de PCB e modelo 3D de acordo com os com-
ponentes reais que serão utilizados, garantindo assim que as conexões sejam corre-
tas e que o espaço fı́sico seja apropriado a cada componente. O próprio Eagle
R

já disponibiliza diversas bibliotecas. Para os demais componentes foi utilizado o


UltraLibrarian ,
R que compila e disponibiliza as bibliotecas de diversos fabricantes

parceiros, incluindo o fabricante do TAS5630b, a Texas Instruments. Vale destacar


que conectores, bornes, etc, também devem ser adicionados no esquemático, com os
mesmos cuidados.

Foram adicionados conectores de 2 vias para que se permitisse acesso fácil aos
terminais com 5 V, 12 V, reset e a ventoinha. O mesmo tipo de conector é usado
para os sinais de entrada dos dois canais do amplificador. O PIC é conectado à placa
por meio de um soquete e todos os seus pinos também são acessı́veis via barramentos
de 9 vias, um em cada lado do CI. Conectores mais capazes, em termos de corrente,
são utilizados para conectar a fonte de alimentação e as saı́das dos dois canais do
amplificador.

Com base no esquemático, um arquivo “.sch”, pode-se criar um arquivo de PCB


(“.brd”) equivalente. Esse arquivo já contém as ligações e os footprints de todos

48
Figura 3.15: Esquematico do circuito. Print de tela do arquivo “esquemati-
coFin.brd” aberto com o Eagle
R

49
Figura 3.16: Layout da placa de circuito impresso. Captura de tela do arquivo
“esquematicoFin.brd” aberto com o Eagle .
R

os componentes. O trabalho em seguida é o de arrumar o posicionamento de todos


os componentes e “desenhar” trilhas e ligações. O projeto ainda aborda o posici-
onamento dos furos que servirão para fixação da PCI e os furos para fixação do
dissipador de calor, que fazem contato elétrico com o terra do circuito. Seguindo as
orientações listadas acima nessa seção, obteve-se o resultado que pode ser visto na
Figura 3.16, que mostra o arquivo final gerado.

A lista com todos os componentes como descritos ao longo desse capı́tulo, dá-se
na Tabela 3.3.

50
Tabela 3.3: Lista de Componentes

Bloco Tipo Nome Valor


Controle de Overcurrent Resistor R20 24 kΩ
Reset Resistor R18 100 Ω
Reset Resistor R19 47 kΩ
Reset Capacitor C18 100 pF
Desacoplamento DC na entrada Cap. Eletrolı́tico C10, C12, C14, C16 10 µF
Desacoplamento DC na entrada Resistor R10, R11, R12, R13 100 Ω
Desacoplamento DC na entrada Capacitor C11, C13, C15, C17 100 pF
Startup Capacitor C20 4,7 nF
Desacoplamento da referência do comparador analógico Capacitor C21 1 nF
Desacoplamento da referência do PSU Capacitor C2 330 pF
Para filtro do regulador de tensão interno - VREG Capacitor C22 100 nF
Resistor de ajuste da frequência do PWM Resistor R21 10 kΩ
Microcontrolador PIC16F628A U1 -
Desacoplamento para alimentação do microcontrolador Capacitor C3 100 nF
Resistores para limitar corrente nos LEDs Resistor R4, R5, R6, R7 1 kΩ
LEDs indicadores LEDs de 5 mm LED0, LED1, LED2, LED3 -
Resistor para acionamento da Ventoinha Resistor R150 150 Ω
Desacoplamento para GVDD x Capacitor C30, C31, C32, C33 100 nF
Isolamento para GVDD x Resistor R30, R31, R32, R33 3,3 Ω
Capacitores do loop de realimentação Cap. de cerâmica X7R C40, C41, C42, C43 33 nF
Capacitores de desacoplamento de cada entrada PVDD x Cap. de cerâmica X7R C60, C61, C62, C63 2,2 µF
Capacitores de desacoplamento de cada entrada PVDD x Cap. Eletrolı́tico C64, C65, C66, C67 1000 µF
Capacitores de desacoplamento do VDD Cap. Eletrolı́tico C1 10 µF
Capacitores de desacoplamento do VDD Capacitor C26 100 nF
Desacoplamento da fonte Cap. Eletrolı́tico C68 47 µF
Desacoplamento da fonte Cap. cerâmica X7R C69 2,2 µF
Desacoplamento da fonte Resistor R74 3,3 Ω
Desacoplamento da fonte Capacitor C78 10 nF
Filtro PB saı́da Indutor Vishay IHLP-5050FD-01 L1, L2, L12, L13 10 µH
Filtro PB saı́da Capacitor C50-51, C52-53 560 nF
Filtro PB saı́da Capacitor C70, C71, C72, C73 100 nF
Regulador de 12 V Capacitor C130 100 nF
Regulador de 12 V Resistor R2k7 2,7 kΩ
Regulador de 12 V Resistor R300 300 Ω
Regulador de 12 V Cap. Eletrolı́tico C141 1 µF
Regulador de 5 V Capacitor C131 100 nF
Regulador de 5 V Resistor R4k7 4,7 kΩ
Regulador de 5 V Resistor R1k6 1,6 kΩ
Regulador de 5 V Cap. Eletrolı́tico C142 1 µF
Regulador 12 V LM317HV U2 -
Regulador 5 V LM317HV U3 -
MOSFET para chave da ventoinha 2N7000 U5 -
TAS5630B TAS5630B U1 -

51
Capı́tulo 4

Montagem e Resultados Práticos

4.1 Montagem

4.1.1 PCI

O arquivo com o projeto da PCI (.brd), gerado como descrito na seção 3.6, foi
enviado à empresa TEC-CI Circuitos Impressos, para confecção das placas em FR-4,
como recomendado pelo fabricante do chip [13]. O resultado foi a confecção da placa
que pode ser vista nas Figuras 4.1 e 4.2.

4.1.2 Fonte Chaveada

Considerando a eficiência do circuito e a potência desejada, optou-se pelo uso


de uma fonte chaveada de 48 V contı́nuos, com capacidade de fornecer 7,2 A de
corrente, ou seja, 345,6 W de potência (comercialmente 350 W).

4.1.3 Dissipador de Potência

Na montagem do dissipador de potência, se faz necessária uma boa conexão do


mesmo com o terra do circuito e o powerpad do CI, o que permite a drenagem de
correntes chaveadas de alta frequência que ficam presas circulando pelo substrato do
chip, podendo gerar ruı́dos e outros problemas de funcionamento. Para isso, os três
parafusos de fixação do dissipador são eletricamente conectados ao terra da placa,
como pode ser visto nos detalhes da Figura 4.3. Já na interface entre o dissipador
e o CI, seguindo a recomendação do fabricante, foi utilizado o composto térmico

52
Figura 4.1: Parte da frente da placa de circuito impresso confeccionada em
FR4. Dimensões: 116,87 cm x 85,44 cm

Figura 4.2: Parte de trás da placa de circuito impresso confeccionada em


FR4. Dimensões: 116,87 cm x 85,44 cm

53
Figura 4.3: Na esquerda (A), fotografia superior do sistema montado, sem
o ventoinha, para que sejam visı́veis os parafusos de fixação do dissipador,
destacados em vermelho. Na direita (B), a captura de tela com o design
da PCI, como forma de visualizar os furos para fixação do dissipador e sua
conexão com o terra do circuito.

CèramiqueTM 2, da empresa Arctic Silver. Esse composto é afirmado como isolante


elétrico, o que é necessário na montagem prática do dissipador, que ficaria mais
suscetı́vel a problemas caso a pasta térmica pudesse gerar curtos-circuitos entre os
pinos do CI ou de outros componentes. Entretanto, para as dimensões reduzidas da
interface entre CI e dissipador (1-2 mm) e sua geometria de contato, o composto
apresenta resistência e constante dielétrica suficientes para que esse contato drene
as correntes necessárias. Outro fator relevante à pasta é sua elevada condutividade
térmica (>1 W/mK). Portanto esse é o composto indicado, no lugar de outros mais
comuns à base de silicone [15] [16].

4.1.4 Pré-amplificador de testes

Como já explicado, a entrada do amplificador é diferencial. Porém, tanto os


geradores de sinais quanto outras fontes, como o celular, por exemplo, utilizam sinais

54
Figura 4.4: Esquemático do circuito pré-amplificador utilizado nos testes.
Esquemático montado com o software TINA-TI.

simples (SE). Caso se tratasse de um par diferencial tradicional na entrada, bastaria


ligar um dos terminais à terra e o sinal ao outro, sem que se houvesse qualquer
prejuı́zo ao teste. Porém, no caso da natureza e própria arquitetura do TAS5630b,
isso não é possı́vel. Sendo assim, necessário um sinal diferencial na entrada.

Para realização dos testes, já que este trabalho ainda não contempla o projeto
do pré-amplificador, que tem em seu núcleo um amplificador operacional Fully-
Differential, ideal para essa conversão de SE para diferencial, se fez necessário a
implementação de um pré-amplificador mais simples para testes, com o intuito de
converter um sinal SE em um sinal diferencial balanceado. O circuito implementado
é mostrado na Figura 4.4. Uma opção seria utilizar diferentes canais de um mesmo
gerador de sinais com uma defasagem de 180o entre eles. Porém, a configuração
desses canais exige um ajuste fino cada vez que qualquer parâmetro é alterado, o
que torna essa solução pouco prática.

O circuito é composto por dois amplificadores, um inversor e um buffer. A saı́da


do buffer dá o sinal positivo da entrada, enquanto a do inversor dá o sinal negativo.

55
Para que não houvesse desequilı́brio, o inversor foi projetado com ganho unitário,
com o cuidado na escolha de resistores com valor mais próximo possı́vel. O buffer
se faz necessário para balancear a impedância entre os sinais positivo e negativo.

O pré-amplificador foi então montado em uma protoboard. Como essa é ainda


uma versão de testes, que não fará parte do sistema final, foi alimentada com uma
fonte simétrica da bancada, como pode ser visto no esquemático da Figura 4.4.

4.1.5 Estrutura de armazenamento e conexão

A implementação fı́sica de um sistema como o desse projeto envolve montar uma


estrutura para acomodar a placa de circuito, a fonte de alimentação, os botões,
LEDs e conectores. Além de fixar todas as partes, protegendo-as e facilitando o
transporte, tal estrutura permite a conexão de cabos e a operação, acionando os
botões e conferindo a iluminação dos LEDs.

Esses elementos foram acomodados em uma base de madeira, como pode ser
visto na Figura 4.5. Na frente da base, há uma chave de liga/desliga ligando a
fonte de alimentação à rede elétrica; um botão, também liga/desliga, que aciona
manualmente o Reset e os 4 LEDs sinalizadores. Na parte traseira estão os quatro
terminais de saı́da, dois para cada canal, com conectores do tipo “banana”. A fonte
é fixada por parafusos à base, enquanto a PCI é apoiada sobre quatro hastes, que
também servem de apoio a ventoinha.

4.1.6 Problemas e soluções de montagem

Muito do aprendizado desse projeto vem da aplicação prática do projetado, que


apresenta problemas imprevistos e destaca equı́vocos de projeto.

Durante a montagem do dissipador, os capacitores de desacoplamento C60 e C63,


que são do tipo SMD, colocados próximos ao TAS5630b propositalmente, ficaram
no caminho do dissipador. No design da PCB, foi ignorado que esses capacitores
são mais altos que o CI, ou seja, ficaria impossı́vel instalar o dissipador em contato
direto com ele. O problema pode ser visto na Figura 4.6. A solução adotada foi o

56
Figura 4.5: Fotografias com as partes dianteira e traseira da base de madeira
acomodando a placa do circuito, a fonte de alimentação, os botões, LEDs e os
conectores.

57
Figura 4.6: Fotografia da placa montada, com somente os componentes sol-
dados, de modo a se observar os dois capacitores de acoplamento que ficam
no caminho do dissipador, destacados em vermelho.

de adaptar uma pequena chapa de alumı́nio para servir de espaçador, aumentando


a altura e possibilitando o contato, como ilustrado na Figura 4.7.

Como ilustra a Figura 4.7, essa ligação implica em mais uma junção térmica,
o que aumenta a resistência térmica, diminui a capacitância que drena correntes
de chaveamento do substrato pelo dissipador e dificulta a montagem fı́sica. Porém,
soluciona a questão e permite o funcionamento do circuito, sem que sejam observados
maiores problemas.

58
Figura 4.7: Ilustração com a montagem do dissipador de calor. Fonte: Te-
xas Instruments - Heatsinking, Connecting and Mounting for TAS561-3x [15]
[Modificada].

Um ponto para o qual não foi dada a devida atenção foi a dissipação de calor dos
reguladores de tensão de 5 e 12 V. Nos primeiros testes, após a montagem, notou-se
que o sistema entrava em modo de erro após algumas dezenas de segundo de fun-
cionamento. Ao se medir as tensões em nós relevantes, notou-se que, quando isso
acontecia, o barramento de 12 V apresentava tensão nula. Ao checar a tempera-
tura do regulador notou-se o problema. O LM317HV utilizado possui proteção de
superaquecimento, que desliga o regulador nesse caso, a tensão então cai a zero e o
TAS5630b entra em erro por subtensão. Para solucionar o problema, um segundo
dissipador, pequeno e bem menor que o principal, do módulo de potência, foi adi-
cionado ao regulador de 12 V. Isso resolveu o problema. Esse segundo dissipador
pode ser visto na Figura 4.8

Outro equı́voco no projeto da PCB foi o de utilizar a porta RA5 do microcon-


trolador para acionar o LED 0, que havia sido projetado para indicar o estado de
Ready do amplificador. O problema é que a porta RA5 do PIC16F628A é sua única
porta não bidirecional, ou seja, não funciona como entrada ou saı́da dependendo da
programação, como as outras. Felizmente, o acesso aos pinos do microcontrolador

59
Figura 4.8: Fotografias da placa montada. Destacado em vermelho, o dissi-
pador de calor extra, que foi adaptado ao regulador de tensão de 12 V.

foi facilitado para futuras melhorias, portanto, bastou inutilizar a via criada na placa
e utilizar o acesso à porta RA4, que foi utilizada no lugar.

Outro ponto que causou falhas inicialmente nos testes foi a curvatura da placa,
causada pelos parafusos do dissipador. São três pontos de fixação e contato do
dissipador, mas que estão concentrados nas pontas de sua forma retangular. Como
foi comum que se montasse e desmontasse o dissipador diversas vezes, durante os
testes em bancada, em casos de outras falhas ou outras análises, notou-se que o
sistema é particularmente sensı́vel a isso. Quando ultrapassado um certo nı́vel de
apertamento dos parafusos, o circuito apresentou falhas de funcionamento. Algumas
vezes essa falha era resolvida afrouxando-se os parafusos, mas em outras, precisou-
se substituir o TAS5630b. O perfil da placa de circuito montada pode ser visto na
Figura 4.9.

O problema foi resolvido em condições de testes, já que, com a experiência no


protótipo, ficou claro até que ponto seria seguro apertar os parafusos e, mesmo
com outras remontagens, não foram apresentadas mais falhas. Porém, para que o

60
Figura 4.9: Fotografia da placa montada de perfil. Nela pode ser visto que
certa curvatura é criada com a tensão dos parafusos fixadores do dissipador
de calor.

sistema seja aplicável, robusto e sem a necessidade de uma montagem tão cuidadosa,
em futuras aplicações se faz necessário o uso de uma solução mais definitiva, como
espaçadores ou o próprio reposicionamento dos pontos de fixação.

4.2 Resultados Práticos


Nesta seção serão abordadas as medidas e resultados práticos do amplificador
montado e funcionando. Para isso utilizou-se de um multı́metro digital, um osci-
loscópio Tektronix
R TDS1012B e um gerador de sinais Minipa
R MFG-4221.

Foram utilizados resistores de potência como forma de simular a carga do alto-


falante. A utilização de chamadas cargas mortas se faz necessária para facilitar o
ambiente de trabalho, sem todo o som gerado pelos falantes (Figura 4.10). Para
os testes, contava-se com dois resistores de potência resistentes a até 20 W e com
valor nominal de 8 Ω (Os valores medidos foram de 8,1 Ω e 8,4 Ω). Para dissipar
melhor a potência extra nos resistores, pois eram esperados nı́veis até 10x maiores,
estes foram mergulhados em potes com água. Para os testes “canal por canal”, os
dois resistores foram associados em paralelo para simular a carga de 4 Ω. O valor
medido da associação foi de 4,2 Ω, a pequena divergência do medido ao que se daria
no cálculo da associação se dá por imperfeição dos contatos e cabos. Já nos testes

61
Figura 4.10: Fotografia dos resistores de potência utilizados como “carga
morta” para o amplificador. Eles foram mergulhados em água para aumentar
a capacidade de dissipação de potência, permitindo os testes que se dariam.

em que os dois canais foram ligados ao mesmo tempo, cada um dos resistores serviu
de carga para um deles.

Como foi utilizada a topologia de ponte completa na saı́da, ou seja, com sinal
diferencial, deve-se fazer a correta ligação e interpretação das medidas. O sinal
desejado é a diferença entre um terminal de saı́da e outro, não o valor destes em
relação à referência, massa ou terra. Como não se tinha à disposição ponteiras
diferenciais de osciloscópio, somente ponteiras tradicionais (com positivo para o
sinal e negativo para terra), foram necessários dois canais para medir o sinal de
saı́da, cada um medindo o sinal em relação a referência. A função matemática de
diferença (-) do osciloscópio então é utilizada para que se obtenha o sinal desejado.

Como cada terminal apresenta um nı́vel DC em relação à referência, caso uma


ponteira tradicional de osciloscópio seja ligada em paralelo à carga, com o positivo
em terminal e o negativo em outro, se daria um curto-circuito da meia-ponte de

62
Figura 4.11: Fotografia com a montagem em bancada para os testes. Nela
podem ser vistos: a carga morta à direita; o sitema do amplificador e fonte,
ao centro; osciloscópio e gerador de sinais, acima; e o protoboard onde foi
montado o pré-amp de testes, à direita.

saı́da ligada no negativo para o terra do circuito.

A montagem para testes em bancada pode ser vista na Figura 4.11.

4.2.1 Potência Máxima

Um dos parâmetros importantes para este projeto é a potência entregue pelo


amplificador à carga. Alguns fatores poderiam servir de limiar para essa potência:
O clip do sinal de saı́da, ou seja, atingir a excursão máxima em que o sinal de
saı́da ainda é uma senoide repetindo a entrada; a proteção de sobrecarga e de curto-
circuito do TAS5630b; o aquecimento excessivo do chip ou de outro componente;
e a incapacidade da fonte de fornecer mais potência, ativando assim também suas
proteções de sobrecarga ou curto-circuito.

O fator limitante da potência entregue pode variar de acordo com a carga e se


está sendo utilizado somente um ou os dois canais do amplificador. Por exemplo,
para uma carga de 8 Ω, chega-se ao limite de excursão do sinal de saı́da com uma
potência entregue menor do que quando a carga é de 4 Ω, como projetado. Já por
outro lado, fatores como capacidade da fonte e superaquecimento tendem a ser mais
relevantes quando se está utilizando os dois canais ligados ao mesmo tempo.

63
Para medir e calcular a potência média na saı́da, foi-se aumentando a amplitude
de um sinal senoidal na entrada, com frequência de 1 kHz, até que se observasse um
dos fatores limitantes descritos acima. Ao encontrar a amplitude máxima na saı́da,
o cálculo da potência média seguiu como descrito na seção 3.1.1.

Testando primeiramente a potência máxima com somente um canal ligado, com


carga de 4,2 Ω, chegou-se a um impasse no projeto. Sempre que foi-se aumentando
o sinal de entrada até que se chegasse a um dos limitantes descritos anteriormente,
o TAS5630b entrava em modo de erro. Mais de uma vez, aconteceu algo mais grave:
uma das meia-pontes “queimou”, sendo curto-circuitada para o terra ou VDD do
circuito. Notou-se empiricamente, que os nı́veis de tensão em que isso acontecia
eram sempre superiores a 29 VRMS que, com base nas Equações (3.4) e (4.1), signi-
fica potência de 200,24 W. Como a leitura dos sinais de temperatura não indicava
superaquecimento, a conclusão é de que deve-se tratar de picos de tensão ou corrente
ativando a proteção de sobrecarga ou de curto-circuito do TAS5630b, já que o valor
teórico de corrente e tensão que o CI resiste é maior que isso. Qualquer conclusão
mais assertiva precisaria de uma maior investigação, mas falhas no design da PCI,
imperfeições dos componentes do filtro de saı́da ou nos capacitores de realimentação,
devem ser as causas desses picos.

1 2 (29V )2
P = (VRM S ) = = 200, 24W (4.1)
RL 4, 2Ω

Portanto, o caminho tomado foi o de assumir como potência máxima por canal
o valor de 200 W. Diversos outros testes e diversas horas de bancada concluı́ram
que esse valor é seguro e que o sistema é totalmente capaz de mantê-lo por qualquer
intervalo de tempo. É provável que se conseguisse encontrar um valor seguro maior
que esse. Porém, como esse era o valor demandado ao inı́cio do projeto e encon-
trar esse maior valor demandaria um custo muito elevado, tanto financeiro (com a
substituição dos CIs queimados) quanto de trabalho (todo o re-trabalho de solda e
montagem de um CI SMD de 64 pinos), chegou-se a decisão de projeto de considerar
essa a potência máxima para essas dadas condições.

64
Para os testes com dois canais ligados simultaneamente, foram utilizados os dois
resistores de 8 Ω como carga para cada canal (medidos 8,1 Ω e 8,4 Ω). Nesse caso,
atingiu-se o limite de excursão do sinal de saı́da nos dois canais, sem que se tivesse
qualquer problema de funcionamento. Esse valor foi de 32,4 VRM S (91,64 Vpp),
bem próximo dos teóricos 35,36 VRM S (100 Vpp). Para os valores de resistência das
cargas, a potência média obtida foi de 129,60 W para o canal com 8,1 Ω, e 124,97
W para o canal com 8,4 Ω. No total de 254,57 W, como calculado na Equação (4.2).

(32, 4V )2 (32, 4V )2
P = P canal1 + P canal2 = + = 129, 60W + 124, 97W = 254, 57W
8, 1Ω 8, 4Ω
(4.2)

A Potência máxima encontrada satisfaz os critérios de projeto e pode ser con-


siderada um sucesso. Porém, não é incorreto considerar que o sistema apresenta
potencial para alcançar nı́veis maiores de potência. Uma análise e trabalho posteri-
ores podem ser feitos para atingir esse objetivo.

4.2.2 Eficiência

A grande vantagem de um amplificador classe D está na sua eficiência energética,


fazendo desse um parâmetro chave para o projeto. Para medir a potência fornecida
pela fonte, um resistor de baixo valor (0,1 Ω) foi associado em série com a conexão
de VDD ao sistema todo. Obtendo dessa forma um modelo equivalente ao mostrado
na Figura 4.12.

Assim como descrito na seção anterior, a potência média fornecida pela fonte
é dada pela Equação (3.1). Entretanto, v(t) agora é dado pela tensão da fonte
VDD , que é constante e por isso sai da integral, como mostrado na Equação (4.3).
Entretanto, o termo da corrente média pode ser facilmente calculado com base na
tensão no resistor RT , seguindo a Equação (4.4). A potência total consumida é então
dada pela Equação (4.5) que, diminuı́da a queda de potência no próprio RT , dá a
potência fornecida ao sistema pela Equação (4.6).

Z T Z T
1 1
P = VDD i(t)dt = VDD i(t)dt (4.3)
T 0 T 0

65
Figura 4.12: Circuito simplificado equivalente para cálculo da potência de
saı́da. Esquemático montado com o software OrCAD Capture CIS.

Z T
1 VRT
i(t)dt = (4.4)
T 0 RT

V RT
P total = VDD (4.5)
RT

VRT VR VR
P sistema = VDD − VRT T = (VDD − VRT ) T (4.6)
RT RT RT

Para o primeiro teste, ligou-se os dois canais com a cargas de 8,1 Ω e 8,4 Ω com sua
excursão máxima 32,4 VRM S , ou seja, entregando 254,57 W. A queda de tensão no
resistor RT foi de 0,593 V que, para a resistência de 0,1 Ω, representa uma corrente
média de 5,93 A. A tensão medida na fonte foi 50,2 V. Utilizando a Equação (4.6),
calculou-se a potência na Equação (4.7):

VRT 0, 593V
P sistema = (VDD − VRT ) = (50, 2V − 0, 593V ) = 294, 17W (4.7)
RT 0, 1Ω

A eficiência é dada pela relação entre a potencia consumida da fonte e a potência


fornecida à carga, sendo igual a 87%, conforme a Equação (4.8).

P carga 254, 57W


η% = .100% = .100% = 87% (4.8)
P f onte 294, 17W

66
Para o teste com somente um dos canais, ligou-se a resistência de 4,2 Ω, utilizada
na seção 4.2.1, a uma amplitude de 29,0 VRM S , ou seja, entregando 200,24 W. A
queda de tensão no resistor RT foi de 0,517 V que, para a resistência de 0,1 Ω,
representa uma corrente média de 5,17 A. A tensão medida na fonte foi 50,3 V.
Utilizando a Equação (4.6), calculou-se a potência na Equação (4.9):

VRT 0, 517V
P sistema = (VDD − VRT ) = (50, 3V − 0, 517V ) = 257, 38W (4.9)
RT 0, 1Ω

A eficiência é dada pela relação entre a potencia consumida da fonte e a potência


fornecida à carga, sendo igual a 78%, conforme a Equação (4.10).

P carga 200, 24W


η% = .100% = .100% = 78% (4.10)
P f onte 257, 38W

O consumo de potência com o Reset ativado, ou seja, com as pontes de saı́da em


modo de alta-impedância, foi relativamente reduzido, mas ainda sim considerável.
O cálculo pode ser visto na Equação (4.11). Mesmo com a implementação planejada
de um mecanismo de auto shut off, que lê a ausência de sinal na entrada e põe o
sistema em Reset até que o sinal seja aplicado, via microcontrolador, ainda sim se faz
necessário o estudo de outras maneiras para reduzir a potência dissipada enquanto
o sistema está ocioso.

VRT 0, 0041V
P sistema = (VDD − VRT ) = (50, 0V − 0, 0041V ) = 2, 05W (4.11)
RT 0, 1Ω

Já o rendimento energético alcançado é um sucesso. Apesar de não ser um


parâmetro de contorno, a eficiência do sistema contribui para o tamanho reduzido
do circuito e para a elevada potência fornecida.

Como potencial de melhoria, pode-se destacar a troca dos indutores do filtro de


saı́da, onde foi notado um aquecimento considerável. Essa dissipação de potência
é causada, principalmente, pelas perdas magnéticas parasitárias do núcleo desses
componentes.

67
Figura 4.13: Modelo de um indutor considerando a perda de energia do
núcleo. Fonte: Texas Instruments - Application Report SLAA701A [17]

Idealmente, toda energia deveria ser armazenada no núcleo do indutor. Porém,


em componentes reais, há perda devido à indução de correntes circulantes no núcleo
e por histerese magnética. Essa perda varia de acordo com a frequência de cha-
veamento, o material do núcleo e a corrente chaveada. Um indutor real pode ser
modelado então como mostrado na Figura 4.13, com um indutor ideal em série com
uma resistência DC (Rs ), que é a resistência do fio enrolado do indutor, em paralelo
com uma resistência Rp , que representa a perda magnética do núcleo [17]. Outras ca-
racterı́sticas, como corrente máxima e de saturação, resistência atrelada, indutância
e tamanho fı́sico, acabaram sendo as únicas consideradas durante a fase de projeto.
Entretanto, uma maior atenção a esse parâmetro na escolha dos indutores permitiria
menor perda energética por emissão de calor.

4.2.3 Resposta em Frequência

Para se medir a resposta em frequência, fixou-se como sinal de entrada uma se-
noide com amplitude de 1 V (0,707 VRMS ). Com frequência 1 kHz, se obteve na
saı́da 10,3 VRMS (25,26 W para a carga de 4,2 Ω), ou seja, ganho de 14,57 (23,27
dB). Variou-se então a frequência registrando os diferentes valores de ganho.

Foram coletados dados em diversos valores relevantes de frequência, gerando a


Tabela 4.1 que, em forma de gráfico, pode ser vista na Figura 4.14 para a curva com
valores absolutos de ganho de tensão e na Figura 4.15 para o ganho em dB.

68
Tabela 4.1: Tabela com valores de ganho absoluto e em dB para diferentes
frequências (para potência média de aproximadamente 25 W na saı́da).

Frequência V outRM S Ganho de tensão Ganho (dB)


10 Hz 10,3 14,57 23,27
20 Hz 10,3 14,57 23,27
40 Hz 10,3 14,57 23,27
80 Hz 10,3 14,57 23,27
100 Hz 10,3 14,57 23,27
160 Hz 10,3 14,57 23,27
200 Hz 10,3 14,57 23,27
400 Hz 10,3 14,57 23,27
800 Hz 10,3 14,57 23,27
1 kHz 10,3 14,57 23,27
1,6 kHz 10,3 14,57 23,27
2 kHz 10,3 14,57 23,27
4 kHz 10,3 14,57 23,27
8 kHz 10,1 14,28 23,10
10 kHz 9,9 14,00 22,92
16 kHz 9,6 13,58 22,66
20 kHz 9,3 13,15 22,38
40 kHz 8,8 12,45 21,90
71 kHz 7,3 10,32 20,28
100 kHz 3,7 5,23 14,37
200 kHz 0,5 0,71 -3,01
400 kHz 0,1 0,14 -16,99
800 kHz 0,1 0,14 -16,99
1 MHz 0,1 0,14 -16,99

69
Figura 4.14: Resposta em frequência (para potência média de aproximada-
mente 25 W na saı́da). Curva de ganho de tensão. Dados obtidos em bancada,
com o uso do osciloscópio, formando a Tabela 4.1 e plotados no MATLAB.

Figura 4.15: Resposta em frequência (para potência média de aproximada-


mente 25 W na saı́da). Curva de ganho de tensão em dB. Dados obtidos
em bancada, com o uso do osciloscópio, formando a Tabela 4.1 e plotados no
MATLAB.

70
A resposta em frequência encontrada segue o esperado, uma curva passa-baixas.
Pode ser observada uma atenuação acima de 10 kHz, quando comparada aos maiores
valores da banda passante, chegando a praticamente 1 dB em 20 kHz. Essa queda
menor que 1 dB é imperceptı́vel em tão alta frequência. A frequência de corte
encontrada foi de 71 KHz.

4.2.4 Distorção Harmônica Total

As medidas de distorção do amplificador foram feitas por meio da medida do THD


(seção 2.5). Foram analisados sinais de saı́da em diferentes nı́veis de potência: 1 W,
10 W, 50 W, 100 W, 150 W e 200 W. Todos eles para sinais de entrada senoidais
de 1 kHz. A análise foi feita com o uso do osciloscópio, que permite exportação
dos dados em forma de tabela e também capturas de tela, mostrando os sinais no
domı́nio do tempo. Os dados exportados foram então analisados em frequência com
o MATLAB. As capturas de tela podem ser vistas na Figura 4.16.

A tabela gerada pelo osciloscópio utilizado é composta por 2500 amostras do


sinal. Na escala utilizada, o intervalo de amostragem é de 10 ms, ou seja, a taxa de
amostragem Fs (Hz) é de 250 kHz (Equação (4.12)).

2500
Fs = = 250.103 Hz = 250kHz (4.12)
10.10−3 s

A tabela então é formatada com o uso do Microsoft Excel


R e importada para

o MATLAB. A distorção pode ser calculada com a utilização da função disponı́vel


“thd(x, fs)”, que retorna o valor do THD em dB do sinal “x” amostrado à uma
frequência “fs”. O THD é determinado pela frequência fundamental e os cinco pri-
meiros harmônicos. No MATLAB, é possı́vel configurar outro número de harmônicos,
ajustando uma outra variável de entrada, mas nesse trabalho foram utilizados os
cinco, o padrão. Ao executar a função, além do valor do THD, também é gerado
um gráfico com o espectro de frequências do sinal de entrada, utilizando um peri-
odograma do tipo Kaiser window com β = 38. A função ainda retorna mais duas
variáveis do tipo vetor: “harmfreq”, que contém as frequências da fundamental e dos
harmônicos, e a “harmpow”, que contém os valores de potência nessas componentes

71
Figura 4.16: Sinais de saı́da com aproximadamente 1 W, 10 W, 50 W, 150 W
e 200 W em uma carga de 4,2 Ω. Capturas de tela do osciloscópio Tektronix
R

TDS1012B.

72
do sinal, com valor expresso em dB [18].

Os gráficos com os espectros de frequências, gerados pela função THD a cada


entrada, foram capturados e compilados nas Figuras 4.17 (1 W, 10 W e 50 W) e
4.18 (100 W, 150 W e 200 W). Os valores de “harmfreq” e “harmpow” para cada
um desses sinais também foram compilados na Tabela 4.2.

Tabela 4.2: Conjunto de valores calculados das frequências da fundamental e


dos cinco primeiros harmônicos com suas respectivas potências em dB. Valores
calculados com o MATLAB para os sinais de 1 W, 10 W e 50 W, 100 W, 150 W e
200 W capturado pelo osciloscópio.

1W 10 W 50 W
harmfreq harmpow harmfreq harmpow harmfreq harmpow)
(kHz) (dB) (kHz) (dB) (kHz) (dB)
F. 1,0000 6,3106 1,0000 16,2735 1,0000 23,2021
1o 1,9940 -42,8873 2,0538 -43,3255 2,1000 -49,0554
2o 3,1182 -42,8475 2,9000 -46,2095 2,8299 -33,7130
3o 3,9000 -52,4721 3,9000 -45,8158 4,0000 -36,6345
4o 4,9000 -42,0887 4,9000 -59,4332 4,9000 -37,5080
5o 5,9000 -57,8568 5,9000 -49,4790 5,9037 -36,4385

100 W 150 W 200 W


harmfreq harmpow harmfreq harmpow harmfreq harmpow)
(kHz) (dB) (kHz) (dB) (kHz) (dB)
F. 1,0000 26,3324 1,0000 27,9674 1,000 29,2523
1o 2,0000 -30,9916 1,9846 -25,9776 2,000 -23,7946
2o 3,0733 -26,8976 3,0000 -23,5853 3,000 -18,6117
3o 4,1000 -32,7456 4,0225 -22,0398 4,100 -30,3347
4o 5,1000 -37,4535 5,1000 -29,2178 5,100 -25,0644
5o 5,8661 -25,7546 6,1000 -38,7386 5,900 -27,1812

73
Figura 4.17: Espectro de frequências do sinal de saı́da capturado do osci-
loscópio com 1 W, 10 W e 50 W, para uma entrada senoidal de 1 kHz. Captura
de tela do gráfico gerado pela função “thd” do MATLAB
R

74
Figura 4.18: Espectro de frequências do sinal de saı́da capturado do osci-
loscópio com 100 W, 150 W e 200 W, para uma entrada senoidal de 1 kHz.
Captura de tela do gráfico gerado pela função “thd” do MATLAB
R

75
A função do MATLAB retorna o valor do THD em dB. Porém, o valor em porcen-
tagem é mais usual em especificações comerciais de áudio. A Equação (4.13) mostra
o cálculo feito para converter o resultado, no caso de 1 W. O mesmo foi feito para
os outros nı́veis de potência, gerando a Tabela 4.3.

THDdB −43,9437
THDdB = 20log(THD) ⇒ THD = 10 20 = 10 20 = 0, 006351 = 0,6351%
(4.13)

Tabela 4.3: Tabela com os valores de THD calculados em dB e porcentagem para


cada nı́vel de frequência analisado.

Pout THDdB THD%


1W -43,9437 0,6351%
10 W -55,8970 0,1604%
50 W -52,9518 0,2251%
100 W -48,4030 0,3801%
150 W -46,3573 0,4810%
200 W -45,4690 0,5328%

Traçando um gráfico entre o THD calculado e a potência de saı́da, Figura 4.19,


pode-se notar que a distorção é relativamente linear em toda a faixa de potência
operacional.

Dentre as inúmeras causas de não linearidade descritas na seção 2.6.5, pode-se


incluir a não linearidade do pré-amplificador e da carga, além da própria incerteza
da medida e na análise matemática dos sinais. Outro fator importante que aumenta
a THD é a quantização do sinal feita pelo conversor analógico-digital do osciloscópio,
de 8 bits. Ainda assim, o maior valor de THD medido foi de 0,6351%, abaixo do
1%, parâmetro do projeto.

76
Figura 4.19: Gráfico de THD por potência de saı́da.

77
Capı́tulo 5

Conclusões

Este trabalho apresentou as etapas e escolhas de projeto de um amplificador


Classe D, assim como a sua implementação prática, possibilitando a observação e
medida de parâmetros e qualidades propostos. Dados esses parâmetros de contorno
do projeto, definidos ao inı́cio do trabalho, pode-se considerar que se obteve sucesso
com base nos resultados práticos observados. Foi também com a implementação
e observação prática do protótipo, que se possibilitou a detecção de pontos com
potencial de aprimoramento. Seja isso por meio da melhor escolha de certos compo-
nentes, da mudança conceitual em algumas etapas de projeto ou da própria correção
de equı́vocos cometidos durante esse trabalho. Tudo isso foi devidamente relatado
em cada seção anterior correspondente.

Em questões menos delimitadas ou mais subjetivas, como confiabilidade, viabili-


dade comercial e tamanho fı́sico, o trabalho se mostrou condizente com o esperado.
Não se observou nenhum estresse de funcionamento que levasse a uma falha após
tempo de uso. Apesar da baixa resistência à torção da placa (pela tensão dos pa-
rafusos fixadores), nenhum outro problema crônico que leve a falhas foi observado.
O tamanho fı́sico, apesar de não delimitado, é bem reduzido quando comparado a
amplificadores de classe AB, por exemplo, com esse nı́vel de entrega de potência. O
volume fı́sico da fonte de alimentação é praticamente o dobro do amplificador em si,
limitando assim as possibilidades de redução do sistema (enquanto composto com
fonte interna).

78
5.1 Trabalhos Futuros
Além dos pontos já relatados ao longo do capı́tulo com a análise da implementação
do projeto, outras melhorias devem ser implementadas como trabalho futuro. Por
exemplo, podem ser implementados: um controle automático para que a saı́da fique
em modo de alta-impedância quando não houver sinal de entrada (uma espécie de
auto shut off ); um controle de volume integrado aos sinais de clip e temperatura,
de modo a garantir a integridade fı́sica do amplificador e da qualidade de som; a
expansão do sistema, com a integração de mais canais implementados com o mesmo
amplificador, sincronizados; a implementação de uma interface de entrada mais di-
versa, que permita a conexão com outros equipamentos e tecnologias, como bluetooth
e outras mı́dias. Todas essas opções e mais, são previstas. Elas são possibilitadas
com o projeto de placas de expansão que seriam conectadas, principalmente, ao
sistema de controle e alimentação, além das atualizações do software que programa
o microcontrolador do sistema. Por isso o cuidado no design da placa, permitindo
acessos aos nı́veis de alimentação e às portas do microcontrolador do sistema de
controle. Por exemplo, o auto shut off descrito seria simplesmente implementado
com a amostragem do sinal de entrada, ligada a um integrador, e comparada a um
sinal de referência pelo microcontrolador (que seria o mı́nimo para considerar que
não há sinal, o nı́vel de ruı́do, por exemplo). Caso o sinal fosse menor que essa
referência, o Reset seria ativado. Isso aumentaria ainda mais a eficiência energética
como um todo, além de prevenir clicks e pops ao ligar e desligar o amplificador.

Como principal trabalho futuro, aponta-se o projeto de um pré-amplificador para


o sistema atual, em soma as melhorias já previstas. Contrariamente a projetos de
sistemas amplificadores mais habituais, em que se considera uma carga genérica, em
geral, puramente resistiva (deixando, assim, a escolha do alto-falante em aberta),
neste trabalho será considerada a resposta de todo o conjunto pré-amplificador, am-
plificador de potência, caixa e alto-falante. A resposta acústica em frequência, não
somente a elétrica. A fim de modularizar o projeto, facilitando assim sua execução e
aplicação futuras, o projeto foi dividido entre amplificador e pré-amplificador. Sendo
assim, o amplificador principal, responsável pelo ganho de potência, foi projetado
de forma mais genérica, levando em conta parâmetros mais gerais de desempenho.

79
Trata-se do presente trabalho. Já o pré-amplificador, seria o responsável pelo casa-
mento e compensação do conjunto caixa e alto-falante, trabalho futuro.

80
Referências Bibliográficas

[1] HUBER, D. M., RUNSTEIN, R. E., Técnicas Modernas de Gravação de


Áudio. 7th ed. Rio de Janeiro, Elsevier, 2011.

[2] BORTONI, R., Amplificadores de Áudio. 1 ed. Brasil, H. Sheldon, 2002.

[3] HONDA, J., ADAMS, J., IRF Application Note AN-1071 - Class D Audio
Amplifier Basics, Report, International Rectifier, 2005.

[4] QUEK, Y. B., Class-D LC Filter Design, Report, Texas Instruments, 2006 -
Revised 2015.

[5] LMx17HV High Voltage Three-Terminal Adjustable Regulator With Overload


Protection, Report, Texas Instruments, Abril de 2000 - Revisado em Setembro
de 2015.

[6] PIRES, F. J. A., Amplificador de Áudio Classe D. Dissertação de Mestrado,


Faculdade de Engenharia da Universidade do Porto, Janeiro de 2010.

[7] HOCHHEISER, S., Edison Effect, Engineering and Technology History Wiki.
Disponı́vel em: <http://ethw.org/Edison Effect>. Acessado em: 30/05/2017.

[8] Milestones:Fleming Valve, 1904, Engineering and Technology History Wiki,


2004. Disponı́vel em: <http://ethw.org/Milestones:Fleming Valve, 1904>.
Acessado em: 30/05/2017.

[9] WESTKAEMPER, E., Lee De Forest, Engineering and Technology His-


tory Wiki. Disponı́vel em: <http://ethw.org/Lee De Forest>. Acessado em:
30/05/2017.

81
[10] HOCHHEISER, S., The Transistor and Portable Electronics, Enginee-
ring and Technology History Wiki. Disponı́vel em: <http://ethw.org/
The Transistor and Portable Electronics>. Acessado em: 30/05/2017.

[11] LATHI, B., Sinais e Sistemas Lineares. 2 ed. Porto Alegre, Bookman,
2007.

[12] MARTO, J., Capacitores de desacoplamento em projetos de alta frequência,


Embarcados, 2016. Disponı́vel em: <https://www.embarcados.com.br/
capacitores-de-desacoplamento/>. Acessado em: 12/04/2019.

[13] TAS5630B 300-W Stereo and 400-W Mono PurePathTM HD Analog-Input


Power Stage, Report, Texas Instruments, Novembro 2010 - Revisado em março
de 2015.

[14] AN-1737 Managing EMI in Class D Audio Applications, Report, Texas Instru-
ments, Novembro 20087 - Revisado em maio de 2013.

[15] CRUMP, S., Heatsinking, Connecting and Mounting for TAS561-3x, Report,
Texas Instruments, 2012.

[16] Audio and Imaging Products - Arctic Silver Ceramique 2, Report, Texas Ins-
truments, 15 de junho de 2011.

[17] Application Report SLAA701A - LC Filter Design, Report, Texas Instruments,


Outubro 2016 - Revisado em novembro de 2016.

[18] MATLAB
R Documentation, Report, MathWorks .
R Disponı́vel em: <https:/

/www.mathworks.com/help/matlab/index.html>. Acessado em: 30/01/2019.

82
Apêndice A

Código Fonte do Sistema de


Controle

i n t erroCount =0;
i n t ERRO = 1 ;

v o i d main ( ) {
PORTA = 0 ;
TRISA = 0 x40 ;
PORTB = 0 ;
TRISB = 0xF0 ;

/∗
RA0
RA1
RA2
RA3
RA4
RA5 = ∗LED 0 ( Ready ) ! ! ! ! ! VPP ! ! ! !
RA6 = ∗SD
RA7 = ∗RESET

83
RB0 = ∗LED 1 ( Clip )
RB1 = ∗LED 2 ( Reset )
RB2 = ∗LED 3 (ERRO)
RB3 = FAN
RB4 = READY
RB5 = ∗CLIP
RB6 = ∗OTW 2 ! ! ! ! ! PGC ! ! ! !
RB7 = ∗OTW 1 ! ! ! ! ! PGD ! ! ! !
∗/
//On/ O f f c o n t r o l

PORTA. RA7 = 0 ;
PORTA. RA5 = 0 ;
PORTB. RB0 = 0 ;
PORTB. RB1 = 0 ;
PORTB. RB2 = 0 ;
PORTB. RB3 = 1 ;

// Delay ms ( 1 5 0 0 ) ;

PORTA. RA7 = 1 ;
PORTA. RA5 = 1 ;
PORTB. RB0 = 1 ;
PORTB. RB1 = 1 ;
PORTB. RB2 = 1 ;
PORTB. RB3 = 0 ;

w h i l e (ERRO){

//Fan C o n t r o l
i f (PORTB. RB7 == 0){

84
PORTB. RB3 = 1 ;
}
else{
PORTB. RB3 = 0 ;
}

// Overtemperature
i f (PORTB. RB6 == 0){
PORTB. RB1 = 0 ;
}
else{
PORTB. RB1 = 1 ;
}

// C l i p
i f (PORTB. RB5 == 0){
PORTB. RB0 = 0 ;
}
else{
PORTB. RB0 = 1 ;
}

// Ready
i f (PORTB. RB4 == 1){
PORTA. RA4 = 0 ;
}
else{
PORTA. RA4 = 1 ;
}

//ShutDown

85
i f (PORTA. RA6 == 0){
Delay ms ( 5 0 0 0 ) ;
erroCount++;
i f ( erroCount == 3){
ERRO = 0 ;
PORTB. RB2 = 0 ;
}
else{
PORTA. RA7 = 0 ;
Delay ms ( 2 0 0 ) ;
PORTA. RA7 = 1 ;
Delay ms ( 2 0 0 ) ;
}
}
else{
erroCount = 0 ;
}
}

86

Você também pode gostar